From 57f461af5950a49db84dc16ba1f4503078786b15 Mon Sep 17 00:00:00 2001 From: musabe24 Date: Wed, 28 Feb 2024 15:08:13 +0100 Subject: [PATCH] =?UTF-8?q?Ressourcen=20f=C3=BCr=20Labor=204=20kopiert?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../Schaltplaene_Erweiterungsboard.pdf | Bin 0 -> 185952 bytes labor_4/res/spi_master/quartus/led_chaser.sdc | 41 ++++++++ .../quartus/led_chaser_pin_assignments.csv | 93 +++++++++++++++++ .../quartus/output_files/led_chaser.sof | Bin 0 -> 841332 bytes .../res/spi_master/sim/libs/spi_master/_info | 21 ++++ .../res/spi_master/sim/libs/spi_master/_vmake | 3 + .../libs/spi_master/spi_master/_primary.dat | Bin 0 -> 3411 bytes .../libs/spi_master/spi_master/_primary.vhd | 23 +++++ .../libs/spi_master/spi_master/verilog.prw | Bin 0 -> 48 bytes .../libs/spi_master/spi_master/verilog.psm | Bin 0 -> 30304 bytes labor_4/res/spi_master/sim/sim.do | 19 ++++ labor_4/res/spi_master/sim/sim_w_lib.do | 19 ++++ labor_4/res/spi_master/sim/spi_master_tb.v | 96 ++++++++++++++++++ labor_4/res/spi_master/sim/wave.do | 43 ++++++++ labor_4/res/spi_master/src/led_chaser.v | 93 +++++++++++++++++ labor_4/res/spi_master/src/ring_sr.v | 23 +++++ .../res/spi_master/src/spi_master_template.v | 86 ++++++++++++++++ 17 files changed, 560 insertions(+) create mode 100644 labor_4/res/spi_master/Schaltplaene_Erweiterungsboard.pdf create mode 100644 labor_4/res/spi_master/quartus/led_chaser.sdc create mode 100644 labor_4/res/spi_master/quartus/led_chaser_pin_assignments.csv create mode 100644 labor_4/res/spi_master/quartus/output_files/led_chaser.sof create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/_info create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/_vmake create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/spi_master/_primary.dat create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/spi_master/_primary.vhd create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.prw create mode 100644 labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.psm create mode 100644 labor_4/res/spi_master/sim/sim.do create mode 100644 labor_4/res/spi_master/sim/sim_w_lib.do create mode 100644 labor_4/res/spi_master/sim/spi_master_tb.v create mode 100644 labor_4/res/spi_master/sim/wave.do create mode 100644 labor_4/res/spi_master/src/led_chaser.v create mode 100644 labor_4/res/spi_master/src/ring_sr.v create mode 100644 labor_4/res/spi_master/src/spi_master_template.v diff --git a/labor_4/res/spi_master/Schaltplaene_Erweiterungsboard.pdf b/labor_4/res/spi_master/Schaltplaene_Erweiterungsboard.pdf new file mode 100644 index 0000000000000000000000000000000000000000..b31bd8a2c83b1fcb8b4df66c546aa1eb3f151b6c GIT binary patch literal 185952 zcmd41Wk8$VvoA__=s2owZJnwYCOTDZcdD?2-yyO}{@^Hp2{ATB;GJ^+j4A5kIRe?--t9qsH~ zp=MS9up0PZeJ~ADCay3%Qo_7I9w3mP56Hs{0`c&(qKk>4LmkZjz~=p*@I9TN0B%VW zR}(u&OPE#@OQ;Kg4owc{ck+{|5;uSrry;R>g)jEmV&;wHiN3lN*MeL zTHyb{@CWN3#LiF$*Z)A~mUMJ*g+00e1pk`AtqOHrZ!NszXLF#|3>-8j($<5aZGhW5|BV2|w6bu=x-~ZD!MFw7 z8b{i3BPMM&VL$z5q96GP;A^O`X|8A~Z)boc)EM!S;$g=3DKZ^(_&uG|ggrm~{@yWV z^t5-{^!WR3JVIApdFOT5{e~azh^61H*N3~S)1&dX+^>_Ke?6xsVr!-ioJp@)iRwQ$ z8SKSMytpEM_sRX?{M^w`@B!=d!@cU^EQ&$7+_yW%!Jsb!oVrVF9pTaugX~$78hgKt zp0CfTR!@0xjl`a9?*^WUOrBMrZc+wvp1K~+ri#K7OvN4_&evnka;toBkAGVaXt@rnP@O=A1xbKIYnFc zN%jin^#6F^GtPd&hpb47uzQ{hIm!jHC61|0x;63{dLsJy++Cu4VkcXNq;D!zu1Yb! z_!y5c$~g6DL3PMHPYX#WV~`L$bkvv{7$pi?xjMIPn}T+Io@_0YrWMzq{)z&)V{AuA zlAm$+ysH1UWMhMAHIEVJYc$^416>GhQ1N1+`Y>R**AeoF-fMe6ycZ>K3pc@0+8rAq z!xr10kLcqkNgzxSE0I(XhRJ? z4wRc8+UhREJjpy9>Q~`>LZhmEE@fVv^891i;l^d8ZR(MdWimR<3%#nWE@g>fs!{by zAvgZrkeuAe(D7r-EUvN`GAhqSMuvtkMG9?X2$S^+b<{|Zfq~gb` zBfhvTHz*(}gSY|5B&O6DA=(a{i%0l936Dh^L%cGz+@!vGxy6Mxw; z)xu|Db5t>92w%W8H`#*H!`0n$IW7q_;1M-9!eb3dZqcM#0GSWLk`=j9xI+gV5dRwN2w@&wm^T_BM+*DC+ox zJj^Yb?Th$w!QEj@3Zf4rAJH;% zTjzxg_*Uyp^M5!+J#y&kn+s`q853X{@agI&^XGFcx1z%BE1i)4CL^j_;nyKQeLm)zhTTC##N?5h$zzZ(GoNn_1_Zq zlEaP3D{VF@>U$(m5kW*y9m!bbDud*PvVw)LA>XNoD7q;ih{qyB6wy>8qmmWKOCnj7 zg;GjoiwYI3=B<7&F$>4(S9oGI^HP=GPZUnlm+?io9n16=#xjVA#UF~f#sd?IaDTn zO^L||bgY+iHBE&|z}i&B*dYOqIw1?(4@A}0>laTx{=jk|RRI|7j@sc}Si%^_wx~~u zoQW|X7Pk;-L^om5(d*|(ZepB3=Si~}KK#i}|GcT!FEz>7u}t_we0TN4XY`6aZG0Iu zH|nbVCX4=sGrSomQ>1jST`A7HYu2f-@&@DFDMi+a>zy7Tsft~0rwrT zU$85(n}4>2X*ceebY!$e=6+G}J-IdW$4?2%4&iCXpjoAQ+qp?OTH9c4k)+1@LN{Lq z5sP>tx(|tN(~4EMLgtH;VL^09zrnMJUrPYSHYv?y2M1Kn zePR${3JG4e`kV@9%;cT*4xgfEnRFO05(uSLtpp+YJH0Z&r(mvI_sJE{B&3^-G~2M+ zD^%t+ot5*YbVMUyJq(WTnoRs6Zb9EJ3a7$s0yrXYgMBGR41vS{Xkl$OqYEKGO;{HE zbW-dO|4#KIgb&-XAge%v@yuykPfa)i6v7jy5*zBRBWDq6y^=Z-6$MZbg?8lD35-9Drpl?*Z_$5B8^kWewH5rKg84g{C*gf%gUE})<+{l@LQ{Hou-P2$ zStR?x1a5j{K%=DQK&o8xoZL-bD(I6vb>PA=7n)2(-DL7Af9|kw$8VU!6=`vbMqA|W z1)_ge{JL2|dv~r3;!9(yPlLy42o6I16FSMI#WhUCY{JX7)Hhd@0l?$LxQo zYBw*DmndVemM)iPAHNz%3VsiZG9+G6E+LEcOCiR*cR~%jJCc?iqJ4hX&OsiyM4>j*^ z4^V6}5J|Ib=lHx^Sf-@5F@_e;6DPruXlELAZWv#@J*NndYlv38lju633|ARJsK>x!4@XrbMJ8#Qso@p_E+L207c!^^hr+`FblPU zvS6a}g#EIr{&M}|=`%|Gis)*QwFE@C=01e;BKUByx&T~|wouE|Rx48%aBI)7 z^<~5zr^*S0oW^}doo!FcJhEHOClv?*CfK2y5VJ#$EO?J6@hKdgkIYW@O{RR>#$Ll=(uIB#xJ^w zx!8|FJ$d5~c>|qx^z=6D+H#g(7jf!3qSETrZDIVH@BWa~NuVI*`m6V<+C326t8A^$ zVOr$fXhj(LBT-Sb%YB{|nGaV82=sKV_dhN^RvcuW27XxE* z%^CldW41^ksc={o&8gm`u5xC22Gt(q>bn%_T~F?vpjB|u%;7ugUj3@!G5MrZ{#J)y zOU!Rb*D+z@QSU9`QiAzrbHjH1$in+G8ZHGHl&e={l#N*|?kGNuQf)})3Q2w7wKiw> zX{y~ZgDadA_6sYQLjL=rt!VAE8x<61v&3*~XXfSx^QKlWU>}z7qm2%)FiOHU=blsa zhmOfM-RQ{4K0?oNp-kG1YM1I{+5#6K_?t8K-f+uN=F!gZepWKIV_OLn_Lz~da&}Vw zl+myi#oc{t*7{_kKXRTSuRP_r(PIXo4?12ZTBS7^KEE&;5CZ~0 zDeuD;?p~9G2EP1C)!Ef5ayr9a^GrZgh-9A>Z?_@ ztY640&w=4dry*}j0Hk@eFt#roEBgL*mdKDonro;e$2dloxb$ov<((L%^#t>1)mt}w@{2fwTHC=W6hG{eKsLTiC(meRXts-B=)8UeCN2x9ihgh-8%$MOsR%O&Sfw17E?b>;)kLbLnHMQ*(>~0Q)Y`Z-pXr| ztW7a$l90TT_G}7oz&VW02vg~iW;|d1NpnptOh%JZ!p{9}CuXhsk~NHR9@Ic>TI{fv zbpJU)tg3ee_l|oFWvoZKY4uZh%any*g3TBQcwy7}LX2|K@9}!b-aTK6gK@qQTQx76 z1Lc=>&?I(E$&2f+hhfv4v&r!$x7+jaS3Y4sALJbuUI%eMy6jy&t&@I|G-#}mYJ4ve zy1iKdf9E6;_Z8UF#s)%%u{~R2-3pp%jbmQRk+!Q^jO!0?hRQcW z>v5;gCZqJP*3@te_1P4HKI}zwc1_8Z+MDT+AD=9AQP3~hd&6!*T*N;=th{`EYSeT> zU-*T>-uv)7=0oF`t{c4%qz<3ew>5Dl-Zu8c$Z+1B-Cyt7R)1^}NFL%<6(pcMHmQ7l zV;y+pO_~HPH#~YWVM?AooncBgW!uq3pOg(Yh>e%eS6|1ZFU4lx0m)mbhe=%#2@Y^6 zvuv1XNh-^wVy?#b-d_|OM0xF}DF+!B$qVd9qGcV%vJfwu6jjFA;8rHaAS`^ZD+5_C zBlWvIPlVEbK|Ym=T>N!UcWPy@ylLvI$Cn}CPU=hq;b(``Q54_tFgAx zLZjSa+pxwCch+hlI(`f#>w2UyOI}$qNG!mL(46ArA<_E%6~95nbnClk_3M_|=Fj`_ z*DEjA2okcUFh;yLMS14IukW)-ZBAsr5lB`&j(D4vGSYay#cbN9|Me*^`NK9$B0i>* zIaK#N?&hL93lkBkJw5r*GAy z;hQl{?4hvu8J7Gy4nMe<82(0-kX7$S=QX`o;@x3ddPd`^rTAV~a!pL?rI@!CCM-U` z!t;E8*S#N9UK2a7Cbe1nXN5TTKMaZ04^P#ky7PXQ20SoBw|sVf^{_LcB>YB>r0o1k zln}(HxL~{bIk3p-1{D^fehZvsQTSmNIr#|H6)gA$GqzC!9?VaN>`tKuxyoX5)ufdv ztbxPToM@3^apZDXV+6;9wvf|h21WRcP>=P6)Wy-EdAD=fjc5t>ynON={guw7PJV!gUWn*)x9PxpCN08dLSNxlo}FIH5|iZ@g0YfJ=Wf#FUj} zbodF%y8iIh_1ua{QS{_m@p5QkF(+mHkkQfLjq}B_ca)7HVGL4Fk1qdJ3gV4s`zwEP zOM^$GRKl$v4xL+B;c|CYcj3b!-wE<+y<{4n#=TITXy>~ug?AcnDg5X>X4eJTEoWY8 z1xa|*1B|X7Ji0z=(f!ykjoDG+hkZEQFOauS*cRnEKH$xch8pslQXEOwSczQpUr%d|$V_r%4vax<_{jwT;zS7IoQl11#j z_m*2gAiC1%mC-vxS30|zWsz-}&?6-4$EyXGjrWA#>#~!*mS!##QGc=0ekcj+X8z{r zZEt3PCyMY)b{j)>e&Yxms~>xnH~M_DHjNJ{Ozxlm z*?EmEz9f%l^+1bh>WC?_OQE1|hnRkcIYHYOC! zQ_j9eVzGn0bw3f7L=Y$Ceru|!d6yGiPx1ZaNs*LW&G#Jo%D4NCb9WywE_-YBb8Aq4 zEf~va9buq@i6rqswX#1}1dX6w9lQRSN(v*4&G|nzF@H=ysxnXC0gP%`eA#ZzN zFPfG3HG?|@JS@F&_r3RsXQ2^K=3(&5`s!u`0sRxc=FR5?qs5y|T+<8Nn@Z%QsMY7z z*}J(;CgFzN#@WTVU&)L@U0KblNf1`)ME{d@DTkS;UCFa^3QZ?5y=?#4pd-yP>qN zyftaQfOC5a%dMBTii&5rk)e(;_HEarEk@QR9-r6bd+Z1Y3St3b7DK8h!bj3;L2hyj zem-0+x_fknKAhBLud6A^1CpBQ3j3C-quyO;!R`S{%J?2M1U z4a+fnqN#Ecw}$oX{?pR=PxtOm`{z$bNI(F{^KWyE=YQ)+{%z^~zjnuX{TXv6k<3;oS9l*_s}iD6YhLS@CS%% zu<-*UUZA@L=zuI{Sfbi#SwgC3OrM@wyS~uV@nx!{-N<}CV=u+#>;cYNIe@w&w|_mY zA_5h(@p2uJLvhhw``Kix2N-`tr|za$FmX|Ki%h- z*H6jGx7Sbfg~{l}&p~qq*X{VH1Sy3^4>dH;OB-E}doexL(n*Yw?H0aqw-+=|Q#6k! z&)yiYQ| z$(-ZHv(KBlE043HlN4!SGU#B+SCB&LNfQNl0MDJ<9Hz#rpO?jB+ukjtCWxmBRAV9T z(9N4Es@7y{W;A$_jp8oOv}$7pD@xEKsiMV242q91fK&lV!1-3+L`LL&L2sHwCWu_X zPEd1+#&AnK_5*aHIUEPvQ{00Ws#lar432Q5;CxlAEZCHkHaG2sWjvDG%`yXi00 zA&u{J!{I7u_=)L=?}-ZM;9v76lQP!uBlW~fz~%l(lis->7oKj_Ok>B25&NW8ec;o= z6`VC|a@MYWa5h9%!#anAV4D<$^ogH)QTmwP!=Q4j^s^^;%oPkr%+0|@as>Yj*vOo% z^9I`*>LKb4rSpQxw0C%j8487C4MRy>i-NWX7vlb-D*e&wn}3#l3Hio7Z(t*;qTbP( zxR5N)i_vLq`5Q9fk=FNQ5QFuwdb4&!b<;OUPbZ$xy-0R}AaXXV(10QlVg_CT1o@JW z^6JT{|1kl#_eY5IcKWo)m7)pR>_%{>1=Ij99-dQL{~A8T8`5@d<&Kvmm(<( zJ_LO%6hC_DO#W4TtVu6uEc!sZ6Io5}E{acS#X2@XLuDxNb2^DFFsaB3tGF||kA)kO zhD`PktOY=ek75dOCl(#6@~V{+0_&0k7Mn=%))2CSf?ZH5FBWet+n9DNs!dQ4Cj|wE zTP>F97-$5JxF;3M*|38jf*@iunA&`8RVcn1uBQ|A9^p;XkK_omVG#dlvdgsQ1eqDT zgDu~T=GR3dk>p&?nAxukNl4`EJJMC!7_@NVTEua-nn7Q~dF)SSAOVd;1;{U_)!Aj_ zlBnk98H${jwS~;=2J^9zCEjy@^{7kAREtCa=JmSWIr zSp{#?GH$dPXz*iIIFm4tPiOL!enn zPKJz|HHEl{wH_vTZQ4QB3?=Z2E@ftquh>|ugAl0{c)`C}h9nTtUd8yUf8;$1WH}y*B zf?J{JI&{|I{>qdJo9MkYz^t>9p}?c@R4uk!c2>j}9ERFb)nq&6Hj88PuR7Bc30Y#W z5DOdWL*82hk$&5aR?t@|Y4Ahx+?_Ksk&j$w) z2mUR!rN+E>(CLaanC{ZcOPofLk(lwWWYbBrPUzmMC>>uDR{+D zb!%c*sicESx6zXXUllS)nq)1CpvuksCN|}nJ(U;ccF*J_FRz+^^O*$Xu9Xk>-n%u%aT zuZgNwL9SOKNAZG_0t2sWs|MH=Z4K_3sGl9DU@z%AEFhGCBbtJ3gMRUDV|%XQ)@)6| z@#@N|tZ2FtV{BGvt>;`E5aZphoz z*y;2$uSR`Zu)2M32jZisx#Hd zbp#E(q*>A102?kdPqVV&AIRmHmGp#A+I(rU(p}X8n$lhP@!~|SQHNalzjmceM3{sZ z9u9$0#v0h-!$9JJ=dU7P7_|)Y?txOuIRH32(t$R#sDDj*vo`rrxTCj`4>xd{hQhqi zkeifr^Zaa1@FTw*$>ps+bwM@;j|pGg-I zJ+>im;)8q7yBg9vB$v1R$Mj^ zcOda87e7%rzS<+OPh2UQ(FMsvNfq8ra14L7-xKM$pb;1T?+#+I6!00jWo*3&L)&}S zG03`_lv_(BiN|aaiQ5SePQhqQ0`VYlR-ebDk1Zj#5Q8-s?r+gsQxpOaRoi65NZWMP z4pzW2yykt>GWB_6jT_Ta)bcS=dEjG&2+j7FAo$+w#E_5DSW)zpk&H5<7$Q*i|7;v9 zqcxnzJxsr5SO2+E!HAN2{wqz!7Fr{){I7v#mX zjyeD>!Luiu@*@Lt!lnK{@ z#cLzEX$@IJU2*9PIt;xQ3lJ(W(raTg6eu-A6i$9_5t1J|&rq|i#X{QqqoGRK$uPmI zxK~sHBM@p`5r|yqm=*P($be&M{o)(y-=B;PNWGOF1R=4Ee#Mnc5j0aT zm|!C7WuY^Pi>1r+5jA zN6d-Es!>q1coKA*e>22hqzb8t3L>&bi#j}uOvXB`ni1x24%;C35(PRqZEqBHq>5aP z1iT!5YO4V|mrCN}u(QHp$f-Rv>YJWiJc&cDHZ7d;*+jncm&2~GVl{QewPOtc=?%;h zYF!F*2Fb|*Hr5;|TDA(#D_H4xhv{M{-uz_F741#NgGT<|<;^e$5Dw#O)4zIgl862J zg~0*9b77My_n!hERTM%}b3ZRSHxJ*Yy^7C$^=N9mqfSf*QI>Zp8&eJ1{!=(`3`Q;H zN!g8AZje%Bcxy`l;&;+z06kefz>4AMZ6h$lFb@Xnn_L3mGV_bE7sYI8E&4P zLy@EW#*L|A=J(+tV-0TCH@v@BIoLfYWa(mZQu|>tIu2gPzdQaH<$EZu#fYA(*%g1Y zv}K`qH3u!DWDrmiJ<&?RNZ&3X4&GWvMn04Y*#bh5%sKy4fFp`70-Li18w=!k-&aW%H8 zD!>4qSCtop%C{XtZ>5~A015q?N6087i2@GA7e(Hf45?&gja54MSBK+XYofYUFcv5f zD%j67kasfv4mOV69|c482g9Fn^ATiw8Rt;snLcXj!@d@m*m(GZ*a67sG+-hYh3)j@ zRZ1-U3N0NYqohbzP}s*2giGB77i^jCXH>jqRZJIZ@6!Z z=g(Qk!$GP3%U;$6NECeKm~h`#Bub>MEE{3GG+gj1D+WGQ4}1}YQduOF-(a$W=^=^m z@8yDSsnORumsgjxI*p?2USndW+=yzPwqV~qV~^`mKrok)&LkaPOLpr*7k74y%q)XC z3dabq7IC=V>H}K|tJ8w^q9^`dNL{riD!g`Q?MMB&`=U6gHeh*XwXHy70GXVV{Cx>~ zT*A~V;5+Q|mGK5%_pCGBWUbK>eTKUM2-g4PRwdki>5cBA5 zhHMasJF=ib6&3sE~VX5$jNGrv-p?R0Wm zmZvSmnVQ`*yBe{u@*+s)K=k{1EMAX?5T%*%g5q6fd5L}V?+LoS&25SN*ao9bQfkCU zu}mZ}oA+%q;C4{;$c84lj3d&1pMZ!W^kU#X(gviR43v}*|8UBQgTv&%OtEL{Q0 zMx?88%&E*jGJnH9y8}g*4Zo10 zihR74v8i_2A6ZR6(A1a;feZsTmINnSwW!D%TE^+}&r&Sm|AejVHEb!3UdTd?X*BWU zY9j@ibkEv9_Q~VGFxlI;XYw>#lN|tSqXQygrya6vS<@g+w5GkxXobv6LDPS3Z@N8= z_!0)?Rq=wl{C2rBZ-;Of2quyl5pq$^tzf$rX33479gF`+^r|2<|!*&NH z*zN#F{O|7I`G{>mUdN~f+ZpKXu0-tGisqLo{}$a(ypV9j0}V1?sk+(DuYs%-D!^K7 z%19*CkqBABqMI+y3I|asdO#>WIEL%fN+JMgRCHxBXyU4H7I|v-#=)DfrpE{`flcs@C8(mn4#8a z2b6=LMXW7S(pk&h`0wTZNwqPZd|dUx#G4TbUC09!(yE&;3|sZ9fwvb1&EW$Z{OEEJ zLTvn0;Yjw>e7Q7{aXjdoJXmDd5iGs+(x%L`Be!RZKVSl&g1ro4c;@xMUQYH$>n>e$hRrMqTu!ma zAfXbG17JwJ4VFsyi^o8DIgxrtZ^P&&68aUo-E`H7GVRIHX!c>slU80LiQyzO!{b(G z#eryczJLNXrMVR|Xn@+NKFO=<$`IVxa5Fh~O06Mo>2()O#QEbSOuuusTF#{-WsTJhi95$D z8FJy-u}B&KQL%-yzSG}>C)w=(g5v5RSlkOvN9|ol7z;$qruqRJ#wUJ7J+biF1)_?I z@b?U9pozoT8bW0Uw4XaLNIEd&6@s!k8T2=^4MOGRjWo_reTZVuhS{v16OZO`7m<_)9JgkG(rq;t!<707#3^CD)f+vJQb`mQ)-`9FukEA0L{JZcOe(L zg7z5k>oS_Skl%|CPhiqbRc7D4qK)=D5zLRn+|eaLp_MDplT4)RCB95F)i9C_ z@(6!xBpcU74;>{=;J=M?9<4aa&7Ub68A*A!v*B`^w)Xk6!p?81f$K z!imw!t`J^bVL41yIQll9u22s3z7JJ8T|;sAR44Jl^c$z#m&k7j4tCN#yva<6GFh86Ckmc#o6cggmO`Hg-$A zgPb}wUMcHEE0K|oX}qLTX7SwH zA$UpKF$^3K>)~u{K<{0+8^R*`Q(!bD8vX`tTQ;QSwd3SpG z3iZXR8^#$z0@NuLW)bo-VeBDz-{|unD>46d^O<84P;@I9P9lUnM#A2i`9@q0bi3n@ zXY76hp*Y%01&Nqg#gmyobU%*Go=kUh9wGt5TsjbD^_E?01n4r)h4 zZdBqTdO355uD=Z2(vz!QYf^X<3A=?F$c&}5V@G{Cfs;9h>ldI4q3hL+Ry{8&UL~x4 zD(6ToR!QR^-ExXz9D0|@!NUjVj=+L}F9^Q{ikgT-(#&JwrX2s*@-Lkp{x!JM)>0fp zN(SV2N;H?0HuOf$CyTkn!pEqE>ez3EorhZI7!QqeF^5$zQ5i~(PRTzfL}zMYDFtCh z{?Hzxk_D*nyH?{4zj)6vGvcm!NhU@9YHL;W^Nd6Sl||wVO192+!GOX(eX&_NHr8` z<)WY<4mVCrjAv7P;7Is_$8UjMQzpiLc|Y!f(U0B#SdGjx1om3stXuq}H$MSouD~;E zOH@J~)d0vKEQO!QPMJ7VBq-nf(=9p$wXSiyy$BJ$*3JYkPkZlY7gxpHinjr>xQ1DW zsunuJ6;I0(h%`_vcg7TxD@W|Z@BKPSO}FmyLp;D~;ExFjgO8g(l90oQ)oyZU;QFf^ zsMU4*fXP^>je!ay6u4F|yx*<|LD;n`xW?|v0q?NsIImoDXSC|q7YWd`h>B_!=7I_p z5Tc%x&nTur=9ffQ_TuF!WmEg??d$%QGxDW8bWpgitWWF8F~6v>|TM~ zY1HD7dOT$-Bv}ZB)ASPsl<$XtUakfJ2mO&NAIIln!U{oQHIT8*Zh@8D9$rY6J^#)W7&({Qg7Gi-04xi7${-hMHr)-7j zvaT*|jZii5wc=fJtiTFe)aIeBPqRFQ)e75VH8eAY)mVXIoy|VeLQ-BY4Y~hIQu$iF z#jlK@S#&S!h~MT;htoksbQDEZfbWGGUjlB=$Y6QE`%FYwBWAY{zpDkWw*BneC>u{J`F3hjS-$HQS0lDDTY z+GWyT2$gS4|1C|c(t#&X^z@lw&l$Z;W7im6G zv#tmqZx-aE{Xvo1FCZ>@<&*t^?m@~_%8 zr!WHI`D~V_H`I&Tn*)PMM!df)ws3Z!?2*PfL1DR+MsxTL=c*HCBkE$6x0)`v1hI%; zkK6ve#(|}8srT!`t9IQfz5tnbRQLPu)QF0RT%mN#DQU^jxv^PQQSF!6t5%3=r@kXr zh`;M!48&`!y2Wex{08ZQr^xJX!7G`T9WQ*E6*RBj36$#(izr zofTO7nJ!6bsX_LLc{vLU%8Ezr65q7tnt@BMU8ZOfu{-xYmf9;hQ!Q*(hE*G@@_!ZFiR}9@&UaA(0OT6zB)i zaegH|K7y%bb?DnBSDnnL`!s_tY@M`dXCMj-->X(Zg=sH?iapI-AB<+sfp_xR)|&dF zE!L&5kdK#A$jDG=_Y-rx@(nnY)`M!M4=KWIs)E0>(*p%~RZ>i1b15SpPOEvr_8kM! zIJJ7JX*UrkPzf!AezQD%s^;UsvA%eob?fv?V=1N89ev9a0qwdf0`kh_;M8Id*jftfEMRLSgEXh60Qrm|VpxJ^H*4VOf{lu&|5V4mJ6>-9 z4ALr--rqECL^C(m`KmPvWH^(@g{|?S)r+kvm!wqqXu$4+MzAl*3(8VZV4h>W1}xr0 zd2awH{Z5AD`1r8!(l0kJYSr@r5xW|lu=4!*?Z8D?t_^FOk?HmCt_bhfQ@(@ah#TH% zsH}?rEzO#e>0jNEM?P;+mwr0dIQcxTi4-G$^>)j;8!L8Ga#Dn+;aahiV}7*|6z2yg zh4t`z4%Yc5!+F5r3ssmsLc3mA%5xD01AhIcqBzttC3*4^$=G;4-*SJ3mu(tY<9=>F zhkv@coZ|jP%6~fE)BQM5&v8Yw;d^&OjA^-WxTaUh&)PjPTe5b8E}a z3pe#{IoYBuZFtw5=3(5bA8wwxe}q-+yD}F|4(ShB?A$KFSc`S0 zLQ!FMXx|+j&}iDMT_=HqRzS>*>v@`BRO!?Tr^Q6g{V^1O+4skbrrTK8r5fo*)2I$?)f;Ot!5O-Y1G;Z&zD%bHn>DXy7`xu zy5+P>YMyp__m3~F$_99}7V(Q-&#Bs)eF42{Ony4W(nZHmYG|_r{NNLf>coz5_OTLu zyl~%VjkFVG!OOXJF|mG3q|te6@8IM>p1&9W`jrFd5fNm1M2h5IHpX0NKp%` zs{h!-L1DS1VJ+51Tj`)AW4(SgC?e{~HI&`!e#N4-kU4uRK3gnnCp_D?S%>T3(_UL) z$y>|z{F1$_19>dp_OxoxV|Rnh%**v=rm|=X%jQH@CZb#OXuTm}Q60Y<|MKT zcein}ewxj(ma}M%sVw@Hu~MH5e6-#ex>!Y7oSpPML0H;!%vmz@_98We?%EdFqCR=> zO5SeLO5P`ON?dBvfUoViBwVqx03xw|TvM%dIQ5kU>d>$bY8FdIE!66|KR;}Ov9W(( z(&F}lyDOJF!V(d!#IZeq9TJ3Kh{u5A8;tJMG$0nqti>yA4K;WJ#(S(+VyS24E8$aE z7M=6CKMDdfCr^9Ho|(21`aWZ{a6__%UUIUNB+xFE*0-%bdj8nD(3>vB^v)rp^>rtw z^~NBlZKqA|8NFV&4&BeNqn*UfYZxxl!3>_v{$yb*v47lW*$fVz%yFP*8oI`_sB;e# zxSn(jHt9P4#1ptr_!@kT$_KvXC6K(&u%<0wcYw{-PtrP<6`{LzFCjuv~ zPXZPL*^ie(-qGoU;`_%3w#`g1TUIP6r1l@=elb!s`iNzoP~}y1T{LNHb9VqE0PSI) z0N!QkxpA1cZka*A* zka$1CDdCDm;+JsjQ!Re^rWx1r^uqe4A;@wsC2`%95Uuy5aNVpC-(bal43S|v^4D(jh7OP|t{`Q_EgHusZ9c5PZ}Sf^X^hiLtBVEQAn-2Y}tYBS6deGFK$cO+C;##s$$rAEBm9hA6T+#7^z zhyF^i4@MBfh}1s>C5*WJL(tiwr&9}m>@Nm%P7}glp{o*ukm6pX2f~oQoFViuml&Il zLj!}dX(7XWsAnu6dp<=5PEV8maIA;*JMNj%^ZeH00Yn3@+2vz(6Z~e908QZMR4&PJ z8BAXgDJ+Rx!UC>@kASTiw2%(6P#K`rtzke1D6kkA@>znOKyi&_1X3OHr_m@$Mc>9s z+Q^Dww*i)SR@(%l3LsHu8p8+-7%}mOz=jbIe+WDQq&GNJQYLD+hF0kD%pfFI3>acK zSpK^-WmuuV;-x(~90>-HPvI7Bkp)^2e%@g4&Kbw`OW>3ud*4;R1ZF@6uXz)%r0*+~ z^WT-AqN!3`)`;^qdhl##>jxj#O;GKUeODQ)b?u~SNRhE#m7wR9nA^@7j8SSc=%{ho zro)+jr|FC{$duUYwhQf+1m2iaH$)ht(G)S=gj!#G=r9kp^;>>d47EqhsWrmm zkoHi`Pzbx!KVU7+Eh@P$JJpgmYEqTvOkK2PyCF2(lrOH`OdH{BQDvY0e*cBhr?KyM zoce=0R?$gUo~Wst2SaE!d-*v2YqRItOaDDrLC*ih+*^jn(JSksiDPCbrkI&IW`>xV z87GdJnHgechM1X|V`gT@5Od7TblSn!Yli=nTP^`0&r3-F^PYm6rDr#i5{WP?J z(dmRq`eejX&ym9oW2xVj$q1gyk6pe}=8wPC((73l&)zBb%q3AEz@B$?m+w#Af7$g> z;Qo411sl3%Yz@QoxlkD`}-iD47__4})@^eVOuMf&oa|SQz=e z-3p>HXD(>_<<-1{zF$n|v;|zN@kv8B(-M;rbpl+RVzh?bgY=HYmBvgZ7`~VcXZs2) znUrq4wYpcA#>e%%lLI}DkQ=hpM1Z79KoRBt4>S7w1$Fr!C?u0v2~0t{&)( zYTR9?`!ywM*`VXTElrQ&1vgKTIJ>qTC3@X4DamH$vs^;eoC@{;sSq?VJ=5|hy0K1- z=Id(4O_EHAAEmZG61#6t+CudnZ#WNc8gYj2PS;3ZzS&fHoH`j1A8%R}yD5Jda6k;t zowLWi_YWml1pSf<{1`1@ifT&Gq_f#&pPFQtW3;W0lIQTjFfp@)A$;%Fn5{;VKP~!= z0D)ZMP3yP65-!~~^?FKwrNU`#!4J3X&GRe*TAIQ2 zrnEtlzdz9V+n*#d0l!ZgJ$~$^nBiAw>;qo4S}$T7MzxM?r&T<}o+cQk7-}E$A(Ob- zfzf>XHKRpBGy%o8x&es|RDPwGBNVI)k*#wPlbKdvH!aC=^XtNV z)EQ|mdH?9+606}XpTUdq@*zac6dThTVUpK#+Cl+%_mtu^2YJ3Uh!P@w)WB5+bdJet zc(tB>jc;mBtae(X?sJSh(!nBg_{ldf&y)lw&!K|3bBWa|M?r&4*Qrio`R03>icDmr zqY^LbNa8X01=AX3($A1B$E32s zwTBpCARv|ZpPgWz8T>UE2NAy8mKv0sGq%~bVwf$?AQ5G=W<-xJ;i%=vp=9_^M1C`X zxeVEc!%Z_84XqelQ&@~PC?B;@@XWi*b6-S5k0Ir zmv|*gC9bx zmxwHLqX;svkTfjz;Z$9x6q$Jk{09YxkGH>CH1;*61hxaxqsQP+@I~|KBmMLQL1%pjY;~kHvh5SV_dMf3)TnGx0ec> zLtdhL%%|UL5l?N5QVI~kC!4C^EC{|}a|r5uX{5G)3$|Q0!ApRk8&%U=${?rnj40%Y z9`+)$4twdg{B76$_9W)T{7u_Jz|wyLUExh{=^oW342Gcgn1upaOx9xrLW2a~TT`7M@;zJAtX*Tf zN7(81N_;eW7tljDBeLATrgT#bpgazia@igyAfG@rf9Jpb>ijhfBh91mF4b&wQWW${ zRl@f`m-84gUYB-82->z1JckhyKrC5H4{&!OUPt=s<=Mx1wcF!`DQJv88Em0sKIAD} zE}sA(2K+BAs&&BH3Aq#``PVVH#u6*S_XCR8!pZ7Y#z z*k`Lhp$OOhG^j4FmJ!|h;>QPq7V}}%dN8EBg+HOaj$~y41bm5@G6B}&OL;>KJ%tc{ zsOn-cGe1q=HBFrY?;d}cM2kveF0NF)p z=_(h~i(Fpwz5f8w%V zk&iL_v>leB{%Sf2_pmk78a1XF{Pa+Ja-g7pjkJ&xlvsshN_?B=E&HYD+bJf&KxiZE zgcHOlx{nP?l(<0=^rA}P`b%*z@^;xqQsa~#0&~yf8F_Bvm4Q5J5H0xxHLQave|HJO ze^C4tg9uDzzVuzD=^?X_V|4=|;on)aD#ILRemrgi(IOKi56eRz?QV?u@Zv)F7Om0a zqGfV)TSTdoZY`;F>D$eOPt~Uh7vzWd2{P~4Bo2Ori(!7IVCEgV%c0$coe$ME=a{!W zN%({`&rX||m1GY)sATiW&PNEz?nakTQrni%kz>!FG2Xm5PKCM;S(4RSLROtIVyVeR z-nG~z8NkFCNdWD_QZVugaNhSRN&FGJN8zv729*Dc*t^V-lLiW$`O*x~cps7(dB`!t zl!ZYS)9J?;BZ-!Oz_WBoX<~uxf+*?5Ya@y`WWG2)LKdY32e%vZQ$q5T1};3uW{QGq zF(;;GuWSBgT!!?&)psdnf-R^M)~}he2=a65iP}y-MtSh}m|t)#wr>@ck_d9^A(QOD zv>S~_bRrYmvo`AbvWKym*fgbYA}SH&X<2?LdBdyBxtjbVZ!;YxHcz*4sA;Ok{lKaD zlYaFjT*D_-*U(~@u)Z|vr)ox-_=w3QElv>-7T59Zb>nXl-h3EArY{I6KQ1kkZMs{6 zzH$U5N5{il9KWI-Vy9lWCOGjmWoJ~L(#k-8?X+4ITpR-sPGiuAIYt9HEg&@AgT47* zLnWv)m}gIB&p2C3qMx(I1cs|HeXNVg?eb@h*twqCld`G6x`M{T}`i|^L#buIMG>HiTjg!kuRu8sJ{A<@srXYKPuDwV;Hvr>Y%uc zsU%oHGgSfF(RVVPpFtE!)w&dR{@m-|Y?LBsxT#+JJtFn&@-_>#B%nGNRqvO`_jsD) zGMYsj>J4swcNK3e^EA^IP~<7P7GFa0#!6aM`diEH*@w~>Y!9gt?M=~0$gXU(z@pPz zoo#ol+Jsh!7i;kdb3Ln0H0E%l2=zO24ATK(_9@e6Em`x7kx<7Z8pc${(->@uE_Qqdye1$V&*}XYpO0nQT zj)qs;sn3!Gj@79danS`Sa7xjQfdccSGp!1H(0BBxQ!lfNH+V{s7y1E%<9hz#u!@f+ z`X>btCMXimm6z%A{6?PL>MR4M@NaNczZ}=?&(_Bw;^UP!5!L*$K%$Fu zU-?Wi6GQTtdm9PatIf7j9_*XVwqC}?$cj&C-}6AO<(JSHCN_ITb#4+ya0Qof0iZqe zb+!An0MIw{^`wj%YnpUg97!j1M5Kz-bmL3ut88iFx3JyXi6UBHE5GhH^{q!N4juX!wU<#c=#8Zm z9C$}=P^!ccPJ!ES$k|Gm;Vv4n)b$|bR6%TV2e*`eu_B*m@?7oBlo zyS#5*`&bP<78%`iWE;`>N3iyBaBs_U6^TQWb>mpm@)dUBFs{uRofD0+_A&Hmi&jjC z7TsYEXJUz~5QW<-TS`}eZQf3iPI%Ui_VH5d>~;*R>Do*Q`m{(Ld}{M@w69Z>61{EH z<@pBC=+P5H_c#(u zUKcTHz#=Byw@R|Jwn>X*5k=p1Xgi`7+@Xo%Zf|gau(0Jv+GsB&jNE0n;Kuvkkn?H7 zZH0U4V>*0xbT`@gD!8Qdrp>xL2b}&87LH9N4|`(>Q=)`HtOjR^z=M&u#m^D0#BaOg zV;i-I>4!sHf`_$-VaK-1w}|MRq>*-QMSE;;CDmH&n%=GI=qI)${7f6x&H~zgG=FPa zMl;>8Ri)reY!L*+PeiNLVJ{a-A zXv$U)w8t?%_uWkIKkE6r#``bo8QY$Vnc41YsUF*AO+{54+o6l|->)65tX$mhlrHB; zbd#U1No(`m(K;5E)^_kk@NQsPp}%mzRk8Lsw53MGnnh4)DezRn5iseV*9Aj;3?&o+ z^Uoo3Xp+#OG=tf^poY;Knp|GM+Rw~Z{H}PTF+^kOWTH0 z9>2TfStN`!mAtcI_MJpROIGYmO;aqt)hHXcTl;M`gsWRpSCTZ9SeFs?B$V#!^reE^ zS}XZbkzP1`^#`f61LD1+xA9>?RMeaGV40Qb7>odzWSF5<+D{(~OSe{-#CzY@|6Vz# zkX||e-PyA31LCtCey6H3ON)fl7psZKELILH>@cj9(EPV4$MGaV*=nH9xuKLKWU^IL z@j$ zMxk1zjpUr6y=Y#G(+un%pQ$5Uf7HPmqa4 z+VZ1`jhvWN^R`k-)An+IAFWZUxZUws07W>O8ECVunwINuJV!Cl=< zw<-yKdCpbvI?^6i6|PEC=UcB;_*nr_1(crCapx0e=P%tIJ7(WOt3Hl<(_~5X7x>Q( zh#Dqy5RN^Rm<#E(j9lahzmVw>z-}!#2E=c+-&|tby%9N^i7H!5dB+f1k-+3P`|0;F zj(4ctyi7Vcpc;R^6OqM$$ zI@h7*vFtwi-c61;>fmD`5sy{zvS0jcgRMRXrwwk(;fnGdye~R@Iws+5$&k}I{qv0TF&b+)K zIr~WhB&iciF3gA@8R1hqmjs*wkA(RJX?T{lHEck}%*Ohl*8nLM6V>zN}G+v9Q1+M$@z;}tGEAPmdoZJ%<_m_{|B>V=y{^p0xKbR zA)z>!mtu5%t#0on5womiM8sE}oL1EahpXKKaoyfRIb8K2!~SYz^p^`}3k(L|4Hwr# zJP#gp13_mYTfv`!k6{a;`Z{l-_}%m&3lgq6`yaP4`eOyNkui>-(RA0^ z#N<$?VJn@V=kVJHID-_F##5K<&N$NpTlKrx83z9iOy#KSyHiE$2|g%@CAy!};&de0& zYza%>47+Ow*$+Q{r**+G4Z+Th6kK(lXgk#wLfA^g(bkvg6I+d8voZ%@Jr%wRg8@3o zJ-N}kFqu|{D44BJ58|SahN0hUB58R8Cd3&8&%$8UDyZCg21~jd&e-hRcBU~0h?0Z6 zfL()W)0B^Z6W44VPO_TR6e2-E0;Q9L&3ry28huttRlF-5?^apetladC?dx9!IgmlB zG{x*ZY_u|}DiU!NU-VJO^Ji#W&Ucq}D1yGus z8{v$ArMxa#|2)A_Ww>TdVzmk*d7zLQciGg4aRouQTCX-P(xlq5%4U-U5wqXSnsaQB z&8M-o|AXqQx7qpSoSlKAKmTTjgr|b7HoaN-&7Bg6;aP`3$`kXBJ}p*0#_1rPP34bo z9YWxs&SI*@5RebtQRFn>VrY9IV57~Zmk{4&Q=%In zh29S*tpDM%8O~}gXBzT8zW8)MJbo()eit&n^;$l}^{?&R=ovbcoXc5Bg_v3>8qys| zg(1&kPa7#)Nhv1~L>{-<*c7F{9-V4HrdDC z@`Wtly*l>yN@(|n#g`6EZd-0CV@RpkZUciMwrLqYE~AjaN_YxR!r|54YIRfV+Rx-k zYX&k9R<<%OduD%wGNr6X*<87SgzjrhMsk47h{0v;5l$-DqVL6X`}IR1-S0UL#FR#? z5CfF`D(Bgi7J&=Y&ac4* zQrz*--0riXU2!unW=aIChVErfU$-ejI-c|ybcW|Pu^hgsQGBzI+mQX1v<44b5O3yy za9xYYpTDr4m*jZo0E*gx8*zKL(Bsj4-M)sM%K+*4 zi@;|=I&j^W{ z9mH&ycGf8G18}*8Ge%sT!$N5(>w&t*d$X}dT1Pso|1 z6^T=EB)S`e6O&zad8_}r6g7(Bg)g44SQK5I zes(rOUz^9V7C`C0-#}vI*}k-HNN;1zD`>5HUD0My2FAWFx_9z!%m6U)f4Ie+|y#KyV(y)}5PCCjWgfZ}gfqE8{x4s=EDl zz#KzBv^93c4K#ZNFZoySirBXPAK;}8fLD)gFdLp)#n#CyW)Z>yn5E|jfC4~^35E^e z3Qf>OpAz$01xgBlVhaSTm_(#$Y=LTsvwz{e?%OuduM>L=fy(7ho*+S}l~MfXe^t;7 zwj!C93M@8b<&gW8$l)rsA`7({ubUNo70yODVM4bHFc!d}3I~YK8$>|JUP{xcCQkzJ z`%SR>2&<|W-I#t`GAJqXhI zSXz&|Y+n4equp?NQ`1@b^X%6pX}M7FHUI~2(RLuuaw^&S*x#DnUQ0%7GmwKw`fZcg zSkJ3Vr2`k>F#UzvN1TN0cf-%};jjBJv-MV!Aa+XFjHQm>VJ~2VXo6foVXvG3M+$E; zZg=$y9ObR_X=CgoNn%?y1+EN<_36nT$rAk97$N2VVi%!;pUw!jUHpRq5TR{9SdE03 zI{b;y@TE*^Lq3_amfMB$t&Dwu9DQntz^KFet4UWXms7ETHQ1nREHYTgAa#-S;2XG( z?R8qVID-I?0{!PXU054J{wOx_ATR6`pv^AGe{SFC*F~iem-nO`U7bx8Ux!iHns!>x zT%^bCuJ4myLEd2&hShv^?vIy2zup#VL>6Gp1(?sbKjs4%&TH{eQd*{h9HNy|{IKrW<)xC`*O+fs@617DfTLny6mfj=P6`p|+# zcVJstjTg*0NA|4F1oIz?xPL^_mX7~UCk_lhc7TM~SmRc&~0qyep;YCAQbIGsX zRr!vuM0>$UxxDlaz_8vsINb$-?yoJCIYn&c?B;Vh0W2y!!s+W+1Y#d*e+Zky_a^Xw zYw1r|LR#xDHG+fDK@qC<_j7)Oo0`X7(nuWEPB%|f2ufm|H-7UGmUb&sAOmjdwnnm? z)}Bx0-26ISHN5 za7nDR(BOIO&`q}Ah9FG(dy#EF`fJ&9@!5DhI^G107ASEpAtXeam_d`$45JO$>2nTD z8)BE!)^^;*O|+;$PklXl)OjOtANNM!uUtg-S3*aYyb2Va=+vlu!w&M%p@dsZ&`ss@ zxPW+c0oQE!>rkLtiv$^07BKBa-CL14)mvy~7jie@MG`6PJ-tIF`VakOnEm;_NK z$I7Dn4|)hvT2#5(YyHU0(0)-RP*;;lj;}Km80f`t{Ni$KTz`UXjylna@KDHqK8tD; zwcAyz{cXLee&V^0y1_>;;E)&I|26~3pWx_wi7CPFW*wB~`z%K`9ZFQbP_&TD?dHOP zzZ0K7cvanezf-RJf^$HorN=<~$p;@~CZAdrFm;4kLJOYr^S~ocC~f6;0`pfP6SGKlEq7vBx9X*Gk2Leb!gPUA!vtH+I!;>#&`qdIYwrH| zQcH$qg3w>UOv=Ekv5IkFznCg_qZ><<3RQilDfc-ukIRMq4bpgxB$w14^_cSJ$|L1QUsF!Vo0zj zWnS0QniKrCsCrADgI=r**tZD!$KQR6B44gH$ASHyg7{ue8af{5f9A`)TqV9dp0&JO z@4lQ}^Szjj7H6n_PT&e2;h>5;s4gPrr5CZS+dl|?qav&ptoA9Ib0?|ZL91rHb?)WN z;q#l&*UdDFBT_@$Zyo1@+7l6QSMonLM}u|VfAjPH0(C$@x~9Do+b1eZX6ITv8uZN! zNqopZ?tuaN`*T1*Fbn-1gZ`7jb9X6X#Dy=?70y=-BjmL9_a{Zcp{I%AZ6Fz%1kSGp{k;I#}-~LH!IB0 z-@SCxbaA#~o`J*Za|9^rc$`0=nRSRc|9sgpB3O@CWqaY&>^bqeJ8SWJ8+mg*+)?(? zXUj|Wzihjea2n+0{;<8~wUyy!UC1$nq_`&nv%z*;Q9f|w48QV(Zkl`d6;*4k2Y-z#5VtN{PJhAjFd4aPp_iK zxd7N*mQucGT27dv`?=+DCVpp<^A6XB#z^eJh3$?T2tGxGSKtd8rXrrK_T3LNyty3u zI|DQl_woph4a%^@$^gyozvLUs2eim$m_QxmHiN4Z5AMudN5FMzUAi+1<Q6#lKX+i{uh3#a_@&@MhKx#ak)%h&2F&8oc` z4kAD40F*(&6M>N|z}o{UWMYO?+Zv(yJYo-7n>l}$)X}te>9E|w-NXxYoiU`8+g2^w zx3c5}rCZPgia!b!q+p3u^gL8K=az?lsE;{dnwl2n=95G1y0_Aa-ksZ(nTFxve&PrP z1S-@|zvg>jz5*11b>Mt|p@W1PAP3Tj{+AkNh80zx;c}35I?)|P2=y{&G9TzB{vQ-E z;QT)n5%J#?5!a6Rl+hN?4ag#S`1a_aQbIGxb2!#X4H)+Hz1w6aQkv!wu8sk_&KClQ zxG0%3a*t>Mi*@JdbxHJV4RvzavjXR60qI?!!VIvj<2MiP5DQYTmy*DxCEg=9Y}PFM zFYf0vqHe7sUReD!QNm?4oar6c)`8Qy(JM5|^e1lEzYId9jBpG3GcSc9S@Ji911p%w zQtsM+&^}JfLzhKDd~NLyg)fZ2v*nt7eqV1*44W06ayE=l&*VNhRg^Mza4G(fgFKTI zf$_0@E=fnb{ykRBcT+r_PsK zjcJ#Fw%;D|&_IKgC+!ZYWoIKB?CWIB*f4cASTj7#x?cO3b5>YGEdcflNJ-C{uG;8g zt&7_AXNH*~gojs`uS7q!bl2w=|1#6X4mcHT&>a^ge3ifgwTpVW%ggCcmsH(G;WL1DqFWdrl@Mn~KRW*!7jDT0F-uw&LlB$PnQgDGyj~h_N z($4++hOy&NLEzTkE>ZYnG(WlW{#6EGee3AYEjh0OtUlh)Q!jLl{SmW5o73ZuL%!-P zG<*;3k2k)~xs0)`r+MH%DpLzz`OjqB_#Ze+U+*|;I>tiiv->X`Gt&ah)hKeV7$IcNb6rY z=5++9Z5Huf_qLDM++5xxt&oE=2Uxoui9KY@8?c*`fZ97bz&Z z$u2Ml9SKkTst~=!%7LgGWdGEJ!RbYf;N>Zyz^o-m75R)aoMY;onMhHB!skZw!;0l# zp%_!bZQnVVmXS*trH!{cPLVd}JfAtc4r?Q%X9U#R=V*2rAg;=uT5kWJc) z7-3B#C91Mv+11&R*fWiGO4AL$m0o+wxL~_!cN8h-QnQ8dy^nk%GX4{5X@UHG8SQ)Z zyHba`TPQE-dzUy*(DY}=3eA9rh)C;lx8_kz&5~9(kJnkP1t)c{90+yX9NhG^XCCU{ zQ?={%X420LZg`AZd;GAI)%8s8eeg={H5ex?(B(bwE<^Is5J7~`V3@zOOzYn)^Z0im7(c%4X21Nvg?*8<+gt`scYms z!xFydlTm6CM*8LUCOQ6@J)zw$epBvVmFlT)Hoj?Bk(18x7Mm=*!7{}V0iV&~#_YB) zPqB0zw7&Tq5Q$yhG>!IapZ_yuO!s$q+V?gq&SxwP&`LCrxU4Yn!ipSKa3w zGe>I+#IR;(FmaxkFE>~(;RBhKx%FugZ(rtt3w0GW3A*o>0QdP@t1`yZE}1bC?)y)> zNQRFX-9z8h6KD>%5)u@-!(M)dz4R6P(Olv5y|7`w^w5MRx*~4AeBJD*+y5cS14xv$ z+rcs!lb7+AS2^dY!nppUuE`@CnMUJ`-5;@1OAu>czp83HhrjLk!)vt9%Wl>u;gy%f zW(VW&Uj{R`RvkF!oeHvLC1-rMnzrMhN4Br()ia8sI0cu>lU|YLj}0ogUy61ao-4F= zTUmxT{*1eLYvlo@v(FLsLe29M{w?8OBbd$l{E_x`gvl;|^t@y7oR6>U;}GW>)g5j5 z8q%}J);Pn(_w%~q!1uo_ml6y2cU6;zR1t{FUwE$(T-i$Q?-rTwis|KNJuFx*QSqOc zA4ZEkJ*B0C%_=JI>*OSOXUTQ_G{+u%PlK!u-~`hx*(>yx~>pIYh4V8?n>5an(xujl$VeYMvl@)c=uvsJK$ zULq~8Bhrho&X65D6mDzXJH zkDcOUoa}Z%WhX>=N#obF`QijSyZy8B32t`Z>H}r_wU&m8ylz%8o2&Cv@$mWKW_>#E z9a?d-Q-4mt?eB`=$Fdb9Bzcg`-K5)65N`IWTorSgYGpq5w3-P!%Z{R}?YNg2L$~e~ z(8UUuEJ4Yu-6qw2cfRPc>_qEALH4O`cj{4DH@o;Du#hF-`S%U)>x2MeyI_sY`xEEG zZe(5N&$Kx`(-cVBv%mny*dwj}EJxVcb5W{h0QZiI5yS9h9wVSK~gY)X|>B;k7(8&2|@vmCa|6iSPY%y(K+9 zzV1m8EQ@?5>r-V(%exZgBY#vzAdlZ(#8|xt+l!H;o@;N} zy=WLc*L*{z7t34a5sZe_si{!=Nrg^D+gcZ@*DiaDNm>(A~qFqXNv;;y4oCKOD}I&F>rr3!H)TsfN7(1|Per68JVKd2bR1_3y?F?zVmA zE))-jbAk$SfF95D6}tsG50p4L>D}kL{pU^*jv__lcm%d;&-!US5i&XR+9BxK6XjUb_^Atg5~fL= z+uFM08xA5x0=pH*msjtSf?8?_<%#!3!+u4seVuql4>~bpoo({goutft?-{U^x1Paw zUoYLRwNxROSdB^@kbueS+;bTEkl)*`bX&hP``h$p@=Yn}l*c=KJ2f z%LC1&j<36@bLa)yQZzQxy>H6ef}!ZyyO&a}n(N~0Eu{s9_ufC2+J#hgnatS5zu0<_pt*EfRfy!2Hwsn|2)lFjdmJ5y_j=F$umNGg z>mB}s1>58^4ezzNv#QWg0ff$nin|%z(JS{&8F~AfCRyE*m;Ko=$7d5hhZJ{*ntAbu z`a3t<>MLDY^4?Up1;b;GT2~E3JHC;p0*0Fu4VTLxXQbCFE9M)O%^#xP5uGFY$GXPH z?i8o;qfeM4I6KcDk{=k8I7Xhx8EzVvIJC-<_2e75@8mD6ewH}s(r%4kaoGB7rgG#$ z1Mk$%8U2DdfV+`*N>vRR_I6YE(r`#S>Ws^Hpxml!+CDvO8@?%{dDyx3i0q=hQZ1A? z#pYkbz}T@GM8Xhpsp3FeDkxJaWxht1-EOkdzXc;#5$P9Y22mYMoHhyF($6c=L6NQh zge2>1`-rkB+_al2W;FJxmszH$6#_Gb@4;|w+dwfHzApD-&As2LkZ$T+mku)D>(RXM zevzQs|Ll_d7GEEk^4^?>=jmf7)RYl?5%-g{ZDj5^bKmru@`c#FxuFkK6{5$p#l2M1 zI!Kf6sPsY#muE+jZ;nI_*F`<(;;0f)UUY1@=(%UkhIM#AAGe3j!C?qkgb$v?jx&wz zdKrorsI7Hj4j1v`oo|s}>G^44un?AG#*KdBBNpr5}_>xUo5y|`EpxpBhzR`{UY z8u3Y=@j>qFrl_$VY_nIWcP)RTwFmO17N6>w7p)&fj~7U4AC$E0xWjW=9%RrOYR7_A zM5`iPGN0czB&M|NRw+F)0Xab8S)!VC@7*gSXUeNMLXq4aMOVw0bF|QVi$Ub#%W1m( zOkwLPhsWCeTLSniG>u`D!25muLYE$UQ$GEYHoU+T)m=~1@wUNpCH=yAj8wa$tHla= z*WBKR>fE|I{LmZS{PD{}!l}sI5sj&$d7(_*ym6GJBHa5&Dvvp}{vy2e$;7>yTzje@ z-VUkEwnRnO^NR?is!!ua-)-^tlrp)M9`oiVNja z*R?yB&M)xYU5e<5QS=b9f9X0@?J*MlKt%J(4B?>Txlzh^>iMDCmzSsore%9Iec(q7 z9!YjmHM#X(nQ+>?Q#A|a}VQqXHKKP1|P+(cx4$WBEM5} z0?1*U)ZGW=c@Ww7?hj6|-`h0aWo?`&GD5Kc3U8Mu?^l{zny%@v+k)cQw z)Vbm6v?)9Kvi7gQ{zV<@l&!z1PC)+hI8@J^Xu6qBkxAz@nG<(iE;m_-N}?83ourQa zAi~0k1Y2Lmi!4M1(c_O`FOdz3&pTOzYqrM9rRVGtZB;{?6jwrO+bHj=tA>b4wp8nf zYCZ-eZJ&v)p~&8do!R3j?b&=A*Uy*L%NMmj*Uyjql0UD?7y&aSg3EU_@Hq1AY7VP( zrw5fW>{s$t)#JsHN4Rx+MHNe=&aY)9ZuBNKT*eKq^dL^weRlUf5!@hpL)|4aZ_OwW z!scS8O~mH1oruP5aD8MNU4ozi@R*nQbF1LiZ;QgW2<(E*kFCd7%iIQH1*A9#zd;Dw zcruiD2*FqrOP=>8mpO00xx}TcA8t>2^Ulc0!jwyJVj;79Pf1X;5`Fjn0y8T^g9~dS z2n$y7cfYL;8DW-a>8_2RW$Dt~ReU}?;`YrT6d&F6nb?AVzh92@gndA^oEf!en#8h#}X7W~7p znZ)92gu8v*RodI5138aYlpU1W^XNCYwiChGY@8yV@dXH zn`M%r{os=HnX`lh71_I{P3HuC<)`+ViLERR_LpI&G)=s6+chUkj;1AAwUCa3m~t{3 z^1|+3k0eR12F~`5@u!^_>c?ri;ZnmmqmG&5%8}jrkqbE9JCyMZJAcih%thvoY$VE} zbd{^8+IiWGWKk_NFi=IbDG#k^rmJ)LT92KFMYt(rTb|XYkfmjd`8CIAm(bDua|XwWN^D zO$Krd+Y&SG=e#h!wx_-R7o-x4wpie(k^g?S$)BS;UXSx&Vqs=u{rBl6EdLyN!p#j! zuk`=nbQ9M9>vR+LKQ+9(|7Q7G@vn13{{MTr$?HV^C8d<1rIEcc0VCVr$^E~cZlWz& zLBnRbnyEW^@J4a&;Vt;vLoP>e8ZdZsf>46cUotVB1U$i?LLZ-k<31}C7yn#oFF3Kk zp~SvMd7xGxA(c>ym$+Ktdwx7Ac)35=Ymw!98Q-&ec-Y%zt1J9I#7BO6-)eJB0Xm?z<-CEiXf3)%V`#T@nXH_o%dqmTm)A?~PmxuDD^Xq_N&*#f=tNlx_yc930m#4eSiWimr z$4xi&bA(HpW6q~?_M#|p%8s}>Xu|&9pPll8TWWdWj#PJ7)k*lCE)T~A&Qo(~o_DfJ zkafO%9cgQ)hJ;=yJpDJgY=8IGZQ(=(hUl?A_SJ4=mru!;#}#PzhPml4lNi-*>OI zL12Dq6Ddr9nWAExgNBq$ypHE}0;A%en5^5?aHN!k9=u3(dKZUgr|;s6g&_k6pKQEA zxsM6wza3@oS1J##-{h~W%9l5V!}xV#6?~JrGl`N8;@eWKZ5g~+ft)Z%i@G8!`XEJ& zb)v3Ffkv|iwJppM_JztwR@$1O(bDNaKv89rEtgj2U)Z4 zM7Pwb<>m`hge1sJEH3QDm(;7|enrzlE7saN9a7e$Qiz2uhgGKIGgZ^1RIq#fUd}Kg zRu(|SlJ~1vZkvc)wVa?8MZ^kxJ)GH<7}lz15<9xB(*hlgO&zD8fkLCkR^ClrbqCH& z{!T-s8wVZLRzG=Hos4UXQ#E}mg`eURMl7|Dsg+@|q;F>SvElX{gf2FJFNbv|dwX<#$s}=RA>go%GW?qHZi ze%41p{fnBeDn1%T4pGgUx7EL>XbPI|f72ksC^2pL=BX;YRm!WeZ_|+cIvz4-Tf*X& zWqif2%nW>73rI+n{CoBx=p8} z7*b(E$dZs6(xoSd;|(n&Z|WY(hU|QIu~-eZ`E!#%x{4^$-*1SanSE3u2`0dc7k+^I zeJ*)F{K20jmtjakYQikJ*GgjHt$(fGZ(3qfwFAAVJwyXsx9`?S)7b6UKO`zol2)w` z-^k!;ur#j9e2C{FEkKo+_+_0cg(1uAOBbnEfKpo=vZq(@F&A51DpsxEk|YH+Mz3Hz zw;3r;)+wd)ec?MbWAs!}E}yRf=f>ndg`A;vOI4J8yPY!GW{4F~iosvyjDMP-55uB( zkU?P98meWh2pB-RrL#tq2{L=j1iU2yHgF@70O+C)?8Sqx@=7GCJ`)>@R(<|7VDODs z5!WsqmnOORl6G=r&?DHmJXQc7;bG2*$N$|VxP*mI4K?b_LIz2OS+GhhuE~79{aISE@dZ7~JQ38M@)X?JF*8of@WVIC!!!3MwNoJoRtL(^p(|$1f5=QC`fJuqF2Ae>N6XpodGcPTo95VZ2ifw7; zf864%gYF~?tRuabf`yjrDVTI&4lstK#7X)Zz}cAMem=MC=Vx+i5#!yCQ@X9+FzN6^ zg?PaP+^*1obHM~s2(uH}e}Va%w6uAp?i&FqB~ZHjH_jZq1Mrmnuvp5fR4|eLK^Sn~ z7JoHGgVY`(!Zd#SbRCg3tUb*S7toGaor4V`_j6K?SSI8l-(nXmLy`}fr(~+lrpLqy z&HUB4Y%~T<((yZGJ`DiMPjHx!N(LuKR>+bePI~&KnSCIY3>jq%F7TEx!5A!nQYOXM zV1pz$sq9TvqgQ)2kj_75+XzW=+I7?Cagm3c+aagf=H1P3F}26 zo+(a#Jg!VV!|@RxhBgl^fVXg25rZZ}m_0NS1Q0wODs><%``#7jDi57HI*L>$2c=d4 ztvm)Ulm+*wEGwJ**r2%WxoiO3xlttE4P+78xLA;3`3@@kq8VPcJR1!+sOv0s%K%Ix zq=(;hL0`w%{awrJ_;NuXOk|SN^h+X(7|%`br9w}q=322v<`CB67vY)kWjgC)#Z%Qx zh&88eK+RZiEUu`wNgmfs{uIQ-pjI0ev%Im1>x|5Q-loOmBUK~{GlQOk-J+@_{>KY`@p>5-w%IaRfu7tKwOv{6eF$+d|CZ2=E(ePTF^ zAxVv+;seq67FQHXjLx-=U~88R#51Ksh(JE=DqWW%iK>7G@@#oa%=9=^z7@QJOhZ;m zX*K2V+_d63k8vP?Rs0+rMH3W5TtDoEN-~$CMacTnMj7!boG)t>QeMl$tLUHEhhWlUp(-!fTU1UJ_KV7>{M`Tz4lWfK?%<7=tHW zEB_^@g7yp=Iu_L^R8n!+cKNG3xj8Oj6(C^f!a!C=Nd)nNkrZEqK&pBUHcv0qPSZK9 zyZi+VT*0WW#$PK(h7`{AX z=^~7q`@02XJVB2l1T53GG&Lw{ELqku4?MB^WlEyXA{)ZbAcpZ)Fc*dy+Jp+mgM*Lv zV*a=wNwR=$5qJ+Q{pl~ZQ3iNu?sWy7 zKH&Ks`u0b`vu3m%R=)(g<&<{1UTkNxQr@)X8*w%j;Q5#ayeAj|S5afME|&%#OMI2? zSgy+ad2aWg@t(9V`)A2p-KyKqGIRVs8_X?3cv44grfMkB1r~}=k(X9>H5xt);(sud z9n+Q`@ENlwe^|WoHO`$kSz;BLy`vmamyZXDFn?3z<$do#>vTZgcJ$2GOr305-S#7iYXhThEL;QSB8&LvHc^Em)gN}F zIT1X^LaF=79~W>4p#L$3qEtLxUa}a50!x*EG4%RE#uZKeqBzARd|_uYtVU}8?bzcQS8!5(#=$h-~V`IAN2zIXjCT(R8)H}2K_Atfr=RKMW6Sg zzK?Fl-0a@Rhx+s14qu&E_#2GOiw7S2 z!g|1q2NoGqekfzfCfor=KKh1`%%>=R4-t(#DGsKXm+mV|dv(sWSXAa{5#4JWc?C=5 z&iY8c^(r2sub-_mWZh8)$G=$}m^E2sSj&adK{8}gl}JK0CHlKjG|oF8g${9}{QC;L{n0cYs5WKqF7-(0WnX3m}9-$TT+Rc{6<-v;=zRN{Hg2eOWr{D22w}fSVmL;9Ql; zOf{9~SH`zx?<>N2MkHw-8JPQgJ@er;1=;h?^=mC~*<~&$S@iyN|0@HVbdYA4(w}Ey zJE2?sNIaj8LD9v^G6>axB9ROZ@V0!3{e`_xUs8!aR%+6k^}%NoDD4DSbm?zzX|#UQ zALIIzEucZ%@T#yd_6s;}voJKP2mft(+q{;W>WAyfLR#pY{A6Ua3fD5hPMc#Lq{v=l z)c&`4pS~7`4|u!sg5Y*xkxzriblguU;dOXA zX$<8&1i`M?LkTpLWB2L{wz*(VPqhXJuR{$2l_)9|e@t&eC77wdDME0TN2IBF8NTwp z{ib$t7Yw&7LnG_{+K2;>R4Y}Pd^^xQ4i3(yie5*8*Cbx1sa2ukG=pPQN~gB8%Z=a~ zpL(=dDY0aGxORDT8^&ALbX&qX-MeDUfJbAa`(C-qE%oa`kLJu0>r0k6j~7UwaJzze zLTY4QYb{HG7!!TFVBDm=>Zc}3`i|OIn?G|4V#nUymys-X4MizUS4WL0`h?xM>!^7b zA?e!pZF~ERhI*8Gv<$BD9j8@{8(AJTt_7AtiM|cw3eQwdg5N5$C5~LhT*o>jzHMs~ zyvXGOef?#} z-^W~4znQJ%OhLl~(c>#R?#0X4>7dmKqv18PAZ^ETCPCHIZpgiGSxTMTT+*5lxAmV7 za?h9i6JN3GTsZj5-b|mZz%ErP?sxm&h?$&At!00BI$oA$-?u8)P6uX;79x}!ao&vS zS(MpiI(oA3rNdY-mm<4 zb6E>Qu+jN66z*{!>T|^6h!@Y+SV3=@>3I`so^SIS*yutT%BEy{-~QEzW9!Kx`Q;pA zs?_F)(7vqkjxu@n{TDlng+|ik#;<>CFikY&?yEkHOk{D5R}AhK$gK%J_SelcCJ*jw zIhJ$~Doh#Ox2riXG_EB5yT*ZP$-b(#P}2$lmx608eYUmMRfCSjg}MIqo3oAUL5HZ5 zenW%Xp~)AU=B`}V~Rbq#5Dv8R`Awy|OQ^_iK48=r}1@Slh2*Rd?W_7{fleY+&T z<2;QI9+V`$=IbzXi*S$R%Q|B*GFOnih>lh{cS?WVBo{qHV|p?;*ga#>H(py@H1}pD z_8Y30y0Qn1+Ng2xcVD6=eEl|1NNY@vu486O2CnI;x2$}Qe%*q16}V4~17;p`UY zFMZsj4#vH9_^FFD7xl9ZFFWlX-m3c$>MoU;ET3VQES7<`LAw7TVG5gfwwh0 zqGiXgar&;vTOOj&+4hdpZ$pP61Z5p&PLM98$y#*h)=@NiG%FI{>L<`TZ1i_IyEhL* zW*b>V*L=z+(B>Pf+IjNoKSG{Mt$h%&c^*wCH;|v4ZX~vHf^4{;w~NYoyf?Oq%4z(1 z@Xq`nGRx!tK@JyW+?uG|?pq?Zwi1sT?d5&YSo3s~9BdTil+^V>Ov@kSBV}@s+JA~f zYY6uKZG-mJ+da}NQ`0WoqT-u%*zyGm)06Olj$PQWJ+FvYPBvTnPbHLFHt(?n5}G;W z@1q)Su#os zA(SH7l9P(rC$ctDiZ)Qo6{zfC9}%v5*fJ%VPeD&!^z#Fi!I8#G13#;;@s&2JHeFP( zW3&^Y_Jg-vW|9-CX5RRw0_E~D40v|*#^t=P3aCn z|Conj@<*tM^pUw&e=7*yLUbNUcJyoUYCVq`n|?m<#ckrR-9g=*F~I0)9IPcYiKj8148!`rQuN) zX5lg;6(4e$5C^K9lg$J$b@H(Z^!EpEC%ug|BEmhf$lZP-r|dJ^+I%|MX`&~NKb2D` z^>Q#b(gxr6;ADF1Ax0A%1Xr(0miF>8lZR&(IMK>D&*l$Zyt7rt{@#oaSe;OR|#gR+SH=YA)?jpwpPVaufi+fDeBsis~NexD?8|`H{jc5EOul!J3XVnHEsH}y*WAj z7`tM{yM%2S1#N~GvWuHP&qoC%EBbQ=&eonl?HCuv<&x3r8Pl!G+0o8OP+Q4v!W%X= z-y?o;mk!6KUhKfF@-$_*2WUlWDVh(tS9iJ9&$B=jsCYRV{@<@f#qK21Wk^Z*@xNP7o1Fc~GVgNrhc#n4iJK_H7B)HoMX;4{!^!U+mKaId8o z4W5`B^FE7SndZY3R+RE@lHBTFy5B{2+$-$^dST!K<*fe2MSVE8hH?pgZyp z=$-s;S%*jPmup)MKsWazweBG-YUbt_ht*O~3fy=?SyFasM~@2%oLE95M)X?0podO9 zW;2H?pm8SgTOU!^j)XSXP}rMuSM@|5#@3~&I>xeK{?AX5F6UZbr`!g_ttyabW*)r6 zR_K3X9{R8aHSJ=R5MO>$P=4vWd!brh4he& zl->e&#aiC1M+6l)hEKAQnqcK;3e<4Z<0WtH*Aw^ZwkYd$?S~I5`;f7h+_j+xV};3~ zdWzv*Elq=5p9wp+_;v?FN!pE_i!YR3+ub;T8Dg~$62G*tyX@x~y!RLd9(Nzo@39C2 z+%$td*00_1;w3l?v6wuw2rk{TLf!Jxl<0|H>YomL=A099y@t|BBn#>v2uM{w7uK_` zU6*~@@<7h2yZ&wYi@ZJhO0f5Np*MYUI*OO+dL?`JyaJreM* z$w|Wpw!ve&oATj)Za3i-$|b3j3&OXu2FBD}A>NZ28RE+L+02SJU^sc(BY`Q`*M#5I z@w_~u1NPi`!_GDPZKfaZcL-x0Pf$;W-LSmZCm2LJ263Ezx*JPHG~N3g;Uxpo(_Q|M zOPPkEugAU;u59(0ew&w3PzKBj63b%aW_t$+@Se!I%w|E|3gYXX_FQg6Kt=lTCsTkSV>ikF!#D@l&Q}CUf=kaxc4w zGK2F1WRfrFpO*R;n9i(D<-hz&t^}Iu5Hx)2ntcpz;i)Qpof~iXNkh<}pTDD>y;arh zqw7#nSyL9NOwd?$2ee7jrbZ^v<(o2Pux7b>GZ9k^_ql&|D{Izo8!Sx>uR4)ma_hPC zN7lfuClZ9$!LENAAr`FMFu%ikbs!Aiy0Oaa3#RVU|M=Snf9>Kc0kuv0QR1qH>eZ(b zwTq7Vr(W9FBTu}3({ILQ@#dtt9X((A$11cY@r&4w90$9uI#iFsX0)DkPivqLJD_Ps zW;uSZH?SZx*)VV(Q6b3LYg80~H#`lBdVckJo?Dx;`^NpcbMXi8M)t7we`Xlp(`WA~ zHBKH@=KskC^88nx?f*l9k(K$M9Gt4DlbwsBv8fXYE9+m%e-ey;3I9&r{r@(>__rhf zzRQ0l7+G2V>D>Qog7K?dGzE|2VTX@I1{6)uB@78{%Fk4w0SW?Q(RTrcdy@K3=#Ygu zD?I00`m^H}X17w3?w?Fu#1o=(7`1&AZ}Mj%*jc3?wq8MBpv@iV|8afXnELiuHCAtM!=Z#o@^x%))`9W9HpFa;{f1b7?dxXA~g-NAv zkzO_F|M@j`sVqUfyQ1y(=(YA{#>iRV44SHEe$-5ELr?XkbF5T_=s>Tl+^=d1e>_QM z>Uvh|)VgHsdO?T*e0t5+-|Xxb`s4i=yx4V5%+&SroGkPPKHgQkj9#ewfHc-HppLk|@bwLCnC)SmWpYtjj{-mdW)Ga>wcxP2c(Po7VA+2Z%$W2_x_H({^RTX&^mtvByx&y(4N*cc=R&p0E))2WKo%x^)CUwE7Oh813E@P@sr8ga zg90m43ZqFX3{gE2Yh6vXaPhZLqRoSK7R)F_=qMMdPe`?J8k#xg2QijlN??wm=k;N- zTu|o?K$5}JlFAD2kpqRRBu(&ed zRKto1Ci{UYOXBn4Y*<2kYH)q2B!wYCJMwW*kPbz==H_%h+!zIzDnc2db<9j;o>Q31 zy+ToyHdf}Yk)`k$hAP4iV3v?y=FzD{%quodNRm<3|T z(X!aYlE9A(+4zZIU<=U<{x~hDZ-z9;vkp{gbAKTO&qOf?^dQWfo8_$_=Lr;skby1m z{RQ6|C*~eQX_L(RBC?*FCr z*6A^PakbRYBK~($S_*+VUOT(W{TJz_Pz(E@5K-9>^y%Ny*r==+NSq4d{*mICs-g*C z#e#4ubaH44yYe<0>=+7v(J%TJ`Y~qa@RslB&#-66)FOUPqlq4g$;yN?6UJ|$HYfXg zb0KUJe0*y4pn8pEvA|$7}s@no$ zUl2Z?4=Y-p&rV}c{kA)^ro%1oUP5$$0E{?cqvssaMC#E2y>U&yV@XqX<|_-Bp?!u) zu(FYj41!S;0jMLb3a2LN(MZ?(j=7g5-L{Kk)`&1FDC1bJ0HR0I)cP;Lf9O&XX$f%d zwg=>}SHh^?)CT168p6fKB~psZ4wf(kC8H(`-gOUy(?d~&%7v)F0cMIB96pP76E|n7 zV4JE2)#cm&2t_x@7&UTNkvw6=xUgcHwayA5Lya6oKayAxDAJJMeGQ9?+pI!$5MIyT zEcC9i;l7+)nd^*NmKFD-U=~NwjM*2p8(J}qJtJDXuDnc(iX)BO%X1ruL3r>WI1R|1 zZ#$j|1i*ea1ptDCWcQkBfY!LCJ<4?dvX`YD#-0s0+!|9h>3)Di^8R|?B&JvLerK95 zyC?&4@qDS1ivyHMGKRWdn&Wl6-Dypm7yS}vkA0!QMiGPahm3e@mp8w}+jrB<7=X3- zm2Pq(%2Xx#}4^`u5fF`f3 zL=TJIqln!PzC*S=;-@824eMG((P}sLQ8T5k_HZerv0cp^oEUNFRR8o%| zfnZY|{ET6IHw=fbcrX?QYQ`vf3Qb|nr^(sJ7|!oBnts9@baI)Yo5sJF7e-_?srl}H z1j%L~7W5;*wvYutlePRF;ksKEfxt@?<(-079c7MDrGgO2Sp%2@NW;D-FcU~$_X&q( zBSHd-1!*8?9SlkGGc`gSw_F4ZzO&-Ng7=g#d=FLS9fzKMR0jI6qC%Fc08iy_Z6U6k z3!y3=7~McY>cW9TsQl9&3&f5rDIqn)wP5UbVOhig-%k2&pzxJ7$!6P# zaC?(sf@#BdR8TBnL8X&J3|IpO9v|~3_l($J_vG^+d z%A!H!*7gfGgAk4Cgb)Oo9j|A)@3wkUw>YgH6$C)AV4@r;!1s%K5-&!_mT;atcFd>O zl3aeM5|T=i{WMBxjeo0e1ic`LAEqQXf&@!-RCp9tl@bB=9};QwU(yYTG`7uwC!Iv% zGC%rNQ!++YyrpRjM3pnhOUUw9-xgcV@KXo>xB4tm95ltWpPc;GF$*MdxJb5TQE@{? z2b4NXhNAFbHQ`Tqq$6!1OJ)>A=m1~6R!c}Yc;Qs@)BWdo+F|Coy>vg&t~L=LEue<@D@8r^q|2_hp$9>{tJ?5QyEt^pJevplacA z`b5h}#$8``7ph&F7*Yo2Zt;X0Y2(UvUy}n8GAtTY?2vs!E6s**2|p7LlD{md-;xv@ zo=S8;LCYD-0TcH~QN)HqpGu-eI;Q^zSrqu#I&JeKWEUg%W*1s4Nob~c0XUQ&xJ<{$ zWLgt~G&lvC)OXuc%%=fvydNldA<{AOo1jX2^R)B&HEAVXrKzN;xe_0s4olR$!EEZY z@<720ouV!{L_NnZuUwA|a>xh_L{Jk~$3VayMm^u16W(_k{t_Vy3quy*PJ-@`I5rqJ z6k~LTSR=ib3Le@_cU4J@;n)~QIJ>Z8M2fc_!|^6suCR4?x8k+l3)StB2o<2aLo59w zgdTk~&bXk~vs6eTk>u|PytJdI{~;IqORE&lq&mHJWgdcg*fBVQq#ieh1kNO6RW0Nq zRAG%_Mj=#(LI%KH^@YF%i7iqR0nl2d+g_NB=z+D@|ArWB$;|QZ#3aVxqlmQG9 z*Tr&g@^EyOejec$X;QbJK9uqO1)2yXP0Zo3rJGmu@q5XpQiEI!?N>qVPcEh34d2J> z&M0rz>2_uP-GG&K6vVKRCKuW`_|YTJn7;J6vA^fkv2p?VFG3B5=(VIuun>$ z8Is}H01J+nE0NO(G}^AcRKEsey>0~Cv^tbg4ecZW(mOgnV}vWD3NQ>o2lv(K@Pll4 zeU50y(4#=%ZZ^D>A~w8?!t9amS`wmU*%#(dldSH90<^M+p_Vgz;RPb!#dT>P81wHp zWw+dCa(*eYOGEiXXO`vl+!N1`z)gRV&F7^EN6BZH&QS;V#X*9v>XTH!4~R0_l7gMU zAdQNV&^-{%ADqUl&?3Q3-}Re|2`K}=rF($=hl;KcgTSDcqztIUA@vP%M@j z$-8ejPpTwIxE#eZw(ZhiykoBW1+id-UxES&o?=(F#SPCTAo$Br4Ri}SWS$0a*8wT-VAm6YFD%&z7K_94CQlXw5Azr8i5x71 zezI3Xj(LE^VnEY*J&G0EM3*3#N>Z z`8hbUwNzMi(z-j9kv#uLdEZ}Lihf171Zi1^$;pV3Rl>J#6-&8FFO3v;u$auppyyNN zSY_4u#tal&rA4+58qRYlN=AaIXD6sE89xuWJ3~j}&$XB1#In=GgwP*sn$DMKpQO{_ zJC}q&=NioB$f>X&t6tH`8N9>7QtO)+LSbRAE-KtWC->?L-Q$=EiC(+oACo+z)@UpK zuB511e09`t1&0<3>3)sxZUh|W!S&gZty|_fetjnMuV`wh_ zHN!lKCiuVXI3F2ZdYFh*R7Fy0<>K9=B3p+=RYVv#2Q>0Y(KMkp?dCB!~w^ z(Nsr^`?P3eu~AKOkNet{Zw(nRNed%*BLeeG@Y>-A*W{OEGT%Cdcv75V3PlMsm zdMx@w#p*}Zt*VR);1`hjhu;!%aP+W0HS~;l{`jM0=_jNI# z5dy)r%roe)AT_>ll&YheRrX0tytE+*^2thw$OdXlme7nB#sQ2;QrTU5y=UeK8^d!j>~$ZSs*`Y|W-GPC{R44SI_>Pu26`q3IlLNj){&O;50FosX< zeB)h7J$goZMTgXNEFzY2Soca6rD=UdPf(2tB~#hvQ>}FK8CrI)46@-Dha@?I*6n>(9+5*FFhQnj?jA$P^&zj)Xtp?fYu#ycb?`#M=`T!-uW0?gp}) z%`st7?7Z7JsL5IVF5%S(3>C0APbU8>UdKG+l?6w7x&9`m0mYaQ$dR!6ZgJ72HXPJ& z$Pq$Yw5{p@LfBc?JeEo~u}Yib9|y=ypl2%>KXw>PvMAj(Wu-APUGdQdh?NuR?;+$% zobz)xa;YH+XUowRgY_|cz7xPw;;G!}Gh|rM^RJ9|NBXRDaX{Ve9+eh$ zme`IgukV5y_8|xIcsH@MLrN6eOa`>ddi}OG+P}GNsa7ATiW&IcAAQ}zidXA>g%I1i z>$5{dEfg0q*j2#e)PU&vmqqodAWD>};rL`CnC}7sX{oX63q-0w!AT`&@{FB>@s4{( zx|IY8Pa@^@ovmzgs>P^3&F9NQS#&1?U`jF-Z#({+3mJS<^UTAw=RkLBLVaH4 zNeKhU-;%R`U>mTjl*o=iB-kKQMjLSq-+&pXnpK8Q)ofaNsA@p@qKd32gdMCpe~9mj zs8zenp{l=TW7{pCgp8~D{Q(vkld;8m|HiuisF+IJ7t$R6#W_b>1-JsH2Z zJit7G_LMv)HPQqCn4&RGL;euWP(v&+7=tuL6D^3zaG-*>_O-A{icNsQi#sI+S+O{elu;9=*V6mK-P?T5HCXI`{ra$ z6Fj@|hMK4vrUu*z6r_=UBVH8?W!0EC%D$_!NR$6Th2$61GgRe$fGFs%n5xmk1g+`9 znBs0^b~LWwIQZHw%^UbEtJ-**Tc$aX-o%;?`R+*oL>K5_#2hZIaj;+plI&vi)NrIc z$)wa6=-`*Zd1HK%F>p@JtZpi~8W&sh}HZjO4){rI@DgxH{$y#mdCijsU*os%~GyIiVoxT+n=%PXy zjeB$>sErLTJ6t(=#B@SOb%c0zZz4N_Wo^S`}AC zzQo%C&FoX1Fm+V3x>q+k2PT`%mj@+L2eWprGP$s|;K(3SP7#YryH)Fh#Ia-G8Yl~^ zZ4BeHK@wr3`dhJp!XAkhS=g4FTxqwQl>{gD4Zi7{Q zSN}fSx`WP!;XcL@Zi95Z53If%hAa>tYJF)Z3}j1}YiSylYeu>t?u}|w&&DMeQZIDP zlUpX+Eo6xl;4Gno$DN|-7CE*KjYO1X4e)*>1Dh1j77uv+#h~qTb$+;J$IpN9(>+vJ(EA_k0M zR^Lotx+GI*4K+Voc+7a50Y|mdyiAQIr+TZhOgT{&$N^5Kw74;J285UoB`8CyLO^I# z_PlP=E*1do-)dTiMv3Toi^|7d-?hJr9TpuMJx8z#VQOJTP`wHc zAv3o?9b=NZtCmEY0t!Kbs+UTh;!VMxo%4D~*+@04UBAeK8Nx;J{>ob}51;TL>d`u+ z5yvS@2lrFuAJer4b#WdB^2QI<-N7wJSkvTvsS||aHU#{3909&e< z1PI{zSezj?SZzU6p!MERI3cUQEF6PVjfcv)1w867f+%9EhkN=O55|}8QU)8XhYYQ> zWNax|$Ngj4%xnr{lcp!un6uy?26(v!K(?Uu1GdkDn(?^3YE?)ATlwI_4FOIagDbd3 zjUoe4INC-SLr(VD2ib1Ww`)g;rABqlIloM6-DCN1*G4E=G(I$Z|(qX-F9pP#e&DMd3u~0mWxVbs7P(sVhmfkXUPZE21p$A8yhs z#r)S3YAwuZ<))`xqKXVyd@7xw3zzrRGf8N|&GCeV0)b=@unH>MF#rZr#zsty^Sn%% zCbo}han5SK!koT6+Lc8YWskSgW-d(dx~DJmfLAu z>oH*Wp-7=|WK-67&{~~_8kZ0IIp%z%aAe~qVo1esXGiHYT*HAu8Lz=gP)VCr`OB+) zrW)2CkqXqDD~b6ubA}P`jhq$fK+7a@9BcD`B!Ml}N+LDSz6-1vj$s=pp>6d4NY6fC zW&xiKWsE1BZEimdCfXLpuopaTAQVv4!{}7=I+5GD%*aNHg#2iuT-Bqfa8m0#GH$?H zy3F5HXSSe-gbYlJJFM`}g+x_XOxVyGJd%N9fY9niM8-=>doBO23jQ97I!lXwYng$h z-=Ao`$1sh|&m2(UXpIaYdx!e8kYMnt&H&>3R?83o8F1pkPFc{B$pEpeZ(6ZBQtKNw z9aE@f2|s2hFAR!r&Q5$lY-Nst5?3++)O|(Hjx-8nq(WL2ZL*ay9Ryq79(YUh;Y;QWkmVPj3CvIxiu3+gEt& zG#pKSF)*38$hvOAtbG`8OW~&pkKK{X=7|LiJzyqAS_ajh>cm)9ehevT zOtA8l&!)@XR;%f%51aQtQMmh^cG|$-=y^(A`~!)loJiiTl=>bAt+C}McH!5vlCqM` z+_o}G5!XVzRk5ZWk+y))S3l44lEgc)-Xgy*(TPMrJ|R0Lt*?(-m5;e4e|FfY7&zo2 zY3ZXMtbMLhOb+6El-FV70BcckYh+7LRxdkwChF56@ggE?-*1$sqp@k?MO2Fs;a8&) zgZ;9YKM~D;Yt{lvrgMGHCnj{8AI;FWSso%|!0OuvSWAr@;y-P~!Kgp|kQgccfEd@5 zK+bZrM|U)5WTK87G^{fk3;XDh_{$QFl@11C|KowQ_e=BWWBYI12C<#%Bu^S%AKi`h zh$!OZCrzoLBf^{s$t*YDNj_oF0dw3A;k*f0B?BG)sSOec{%^S?dP9>84x0eakhw@uD>|z@mte1h^Pt*Q zZmrjUYd*zzf@*LL7XCt>wo`mMZF+u2HvrvpVSh*EdhQa;BRYlHMORM00s78O5l8Kaq7O|yYpD2Ih zS5l!)M}M-%#@wFsN%^@&ZWZmA^yXl}Q1)Y0Egv1y^Nt+h*J*a>)0oZ0UQ=5Msk}*X zvB-iP!CIQ(7xMm*?y?{11OVSzNo>sa`kK|2$igVj`-Cs#pmzxxHsx;y1fUw?tGM#} zoX~1ToX}RqkuhlVgJC7j@3g^>&-+fo>`gh!l>29C#LN;cwP#o`Nt*MPycHEAS6vf~q$D^19m z%tyXb*c}!?z;CZLu!aUkv6xRWci=!Fk>4{K0l(#{V0Wa7(QYura7-BDP>jd}h{q&D zgr0&?eYmhl->^T5O3cu(MV4C3hX!$pLd?QDii&k6g~#CF-(Xn>_)W)5>5^jx1|3DC ziD{~S+fg2W4Me@i5na~@Q~3s<_;&%eWf&vi7DrSNVV^o^ULV%s8)-th@VY*%DHG}g z&VQPKzQYlo)raNDC4CJ9H37PWdcRc01YJ%i^7J06K9d(>1?cBXhIGk9q58NGkiNBY zix$GG4@Q1^tbSZeh8&|{RQ^`^A-AR5hye%I5(-Glv?U}p4Cf(DKa2o6;7b!(j_-#; z3yYFd4zV*&xa8uN3i~_^o=Z9>kgcmZ-OZwGHQPUS{W%&_y4BP?zg_amBQPA24_}a1 zp-+hVECy_->hF-$YFdFGox6GHv$)*fBF~JBQCuJ{6?CCzwga-iSrnq(enE;-G0Pbm{bk(lohhBkgu8AQLO$`iE(uXX_-(G&e-zAj!7R* zgX*^Rg?1Twqf(L0(Ok!!xySTQCmJsO-5Ky_4H2m@<}ZzfpM35dlVSw|$O(lGrrwMf zf*BT?_=BlbHvr0^a9-?b<0uSqWTDfoe`neYRM$zi< z6`~XrY@D}C;5@Z%%(s$p+>24e8oi59B}Sp53Dc%?;%QNf@=iCg2dFH~eiap$%Y5@q zb?O}t-Ww-T;_PKemoXm+o1FdGlBt)u(};}K&{k1b#WoR9mM#6w7wk(h5jons6#Yz4uT-MZ_%a7AB>IV*F(vz}Wamx6_GL6fMEX*w5dK zk^Iv3$d%I2EL9qOTXVWofs*e@5dDd`BEmdHkTXkyd=KdSXi*$lL&zx0GC@D}%rGbp z(ji~fFTS;+wE%iebp|FSke+gf)GGlS!voW=gppRe6LFcffJ3KjxXLuT3qyy6`DYnB zx*EJJ8`RF~d}2H$Qrw`Yc;rc8^);firg1oYCD-A{Pof9l!OOW|lo4yJ47T$NgD2vb zSKY0@Ux{oQrX|8%pyV+im3X=Hw+NwUA#U`Jen1adwnmuMMu-&$x^ zjky=7FjrWrGgN=1Nb_tN5j$5tPhe&Hup8w0oj*$u@HI%4>c*X=+Edo*=67ukK3x$^ zt{P+!2(>d*a=$svm->>4q}wuX?i)+Y)D z+l^D!(F%fo+YR_7TZQuO3O)UuFdeRtja8^08?7Sei;UbCiU8KN;nUD%Crm*EN)zScXlk>kY_!NbL~qSE`~ z(DqJZ*z>qe^*sOFr1jQ6_T{>fheE~m`tcgBLrSj2^0pI3KfX{knK|fb%Iq#uD%oyS znIJbYnmSsU1ln$J4JYa}Ehlc^lS8%5)Bv|CH+3q+~II0_xvw~gqA#S%^Tf)<*;*#C%B zzM09_@OjjV$$)f0NVi%cp}VxuL^@odqqq9s3h9_yt>}n8UnC-j7;+ilUT-~^wY_+* zFmtrpN+h%0C^c)cwY*r&``}xz6TX~Vx}n{VbSLR*HBa5f5z8b^Zq-C}gXg04u8as? zhSiFamjL!K*E8rEop?`-Gv=DV+JGUyHm*w?AuCIZJ7aG%eD@xg@d{GDmb*_Q`-^w4 z(vO`*VLF-|u}cpS-}PqKWCPx{(LAzX@#e2Pp!BW^ns-$M&+adR{NiPZELu-zIEOK<4%lT^s zvR8;i*fME+y^a{83-W%4ZtyyOU70b(SlmKvV!mz1m(u#+0S&cF>W+&3H{>slXWNDc zyt*H^O}4MZ(>O*!-hs>^g!S$o%rGcT*be!OE3EmgLGRwsidDIhmIc{lORsUUh-B1+ z?6b;hh1%wF%mj(nWDC0Ruh(fRU8&H~Zfl?k&K*`DG74T5+Fc~GE!J!t_seb^5Ahbk zpG(4voht6L9E%t>p9ih49{CEgQIfv`sqUy2bB&v9rwyB;216tknSm7HNT*c{XgWin zO$$c|;iLY(unTgwr$&oS0A8N#G9j&mJTueja zXDWWxn=X<#oPmc6xmtOnZ?wwmn30Yp0cqxvfd2gz;hF2appt*P>lvAj*++pE4*FnH zS1WA3{eOI-)->n|vuU!{bP-q4Hq&&hoWsNMofD8x>}th8ywQq?LmboWlG-?qPhqQ9 z^pS17o%T!k$+lgb%M0?#jgHr?l!&egb_1s1Cjmx*k|&>pJ%c+`L>#PI;_^=QO2HKG zde4mdaQKf=8qsPz8pL~Tk8Ut&c|qO&3(3O^LvIt9BgA4mEDX>;hM3Ww;m&A@djCRV zLDqflT<%q7!YfL&ejRGC0P-}rSL}a0t>Y*(XCT?rJ_=W`TO3tykvx%qB{z>69PMPi zO&*f0^2{-m8oV_8WA_M^6}_$!TdpFpDAL?@neBlLf22 zz>ij6p9L$BkJ#kF4hKQ~52L2%w>Qq`>B7a{^1e zL1!*cG7D5C$b!v&UIuLko|+!8i704)i|8nc!YBl0JAGNkC&z)WN0A5_ z&b299Cog23GsXkN&79QMpD2k9KDDc7U&NmJ8%=?>S2C1|!Z&;(sWS-xrZ_W_WzGmn zYwOu)CwTkf-BH!8Pj)%z;%`EZof}(Qy0g*J!fleNKBfPDynIFEP%Y@vB%kvCrp9yo zpz`+3`>_ckvb2NLnWFPlGL+j|o&=iyNl#wR@xRCGK zh}C8(sgf39*=1@7nsD?n?zMGvomBrUi$GE2<<0Ql<}cjifgKQ>o%t>;y)}WT=sCOa zk&ccSjw4_qJD5Cx~%j^ZxPRzVU5m8W+3_5v>22PuTM&dB*?WCKRZE=|rg%r-RYG*Ix|Yvf)Ae zBTQrpJ(HJNuj}DpDXGsSY<7j7I??LHm|mSj^k`ru7;`YGl;q>R1x{#|@b5TsfBqMa z{MZ2IXHF+R7`H%aneXIaZ(iYGA!M%t1RjD;klo*i4~V0{boCWGBI^&b%4abQjKOP~ z4VP=e7b9A9c`a)_q?vMdXq_qrC!)bgJA7$yZi|H(Y*SPcsEk)_fw%=Y)74|YZ7(k+ z!1N8hxzjYsog_KttUr~DgAEWxfnAAmV7Wx#)p@9?&s$4Q!=&_BOOAVUPa@tFP>idl zIb+uyGaUBu_LZHf-{i(WzfY`Gc*&3d2Qqu;e#SnJqzgoedN%KKWjiBC-wqiG!czr9 z(44Lq);9-**-0klaRh=Hyc-8?J0l(CqJIapLm#3?hJHT_)R9;nUL7#e+ZR}=`gIj) z*p(ctG;6Cem&_NP5}bP&@o=#`$d;D3ShCM}NV;svmqAvrJHj&_NE87E{VeEYPK_q) ziVleAdVmF^o!t^kJjxdb+q=wv*+Ua%L``MuQ33dNZeaF_lS5k69l+6EPw^#Rzhy z&sZrI?;DS&fJ}_6ZpBxyyL`&bKNV#tRfLEjAQdToQXVribpz$Y9s~Kjk(E_KAh;Ufo&3|O{7ee?n8|0CY@fyZZVO9<1YN-tD*oWaWVRjrz-To$dn~J zeFUL3K8oGXr`L${*T%fexxp6Lp&yWR) zlX)+W;3xjP;WuNU?S~h>lk9ceua2xBn}Nubcs$rAVaov2jM-E|FF&O6f%I`DH^@B~ z{nxy9x9VbHNpwQN2DhXhhsb?@W{`t#zsE9$DU8OT1jC0FG@&jzP{8_?@>#gkdf(47 z3%>sr{H9du)tcUC?k`{tW4$CDzCn6}ZeHKGz<)Pi_gE0Mm*bOutOMG=V^*^gv3ac^ zL8w2aIKKW5WOpmp%zfv?)2WGD)Fc(oX8Xw)(!}$rvHFVprZX_kMX9ML$?<@%phu$d zVJ2#r)E-1>J?LNM%XncH=D(M7Hv?dO-$Pg$6sL%19BbabW`9IktwuC-isi>vapV%D?|7x=N~i6=banx zy&W3?xhx@W4r9o?Ob1`BMCY6DVvY#rw|Epan-Bc=RrUU=rk`;(?>d zWMAP21@fx|9vt~!GK%1gxikn?P@rMp?-<$kUt=Tzz67hS9|l(Xk0-L-jKLK|pUShupHj~f z8$k1d2qPk9%n+){J^b{pL3#1>%xEA*=ia7QhfK0ha%STNFg8LS2NyDkqr|D04(Mi|HN1 zj-dw{2U24{hPbs_Gl3i2+Z;xLWHqu&fxSFn15hQN+A<&`IG@k}S0D!rCI@pzd0LZJ zq6Xx*j-VgqKeMrCLPkg`g3D4XaH5<*vGbcwF8ly(cU6QF!%&XZzZ--nVaZx_mK12{ z2^f*-&PP7$9Q~caafg2G`?2UTqKS<$@3-X1tJtEK9e?!i22OcmJ^{vepD`(t`IZ1o z#2N02{~8ZuP!%W$1hVI`NfR3{>XQmMLxTdCF{fP^Jj+~x&~W+aS_{hQczXYWv33e* zFK^`87{PwT&u5~%eYY%`aOqqUP&Zby#Acrk7KWr;7KM`7oS`iZ7r|dallGyMJGU9c zOc9X9zK6H>Sxhu*+0Ew_e_n(^hr1MPvsVI0fA)fCmNTYlJYN6*O<-ZBlnb_kVWx<7 z3KR~sr980_wB2jyX*h^H_&@zfed;_?$y^{?5YtZ4Ab*!(_NpNvW*#G0%=Z2o29-_W z$$z}G-S%hBq;|$Qs275zX9#DAbHOP+1bWh-_ajAY??XEYh%X<+mnu{1wIqr{uswjD z;uMFaaZ&YiFN35wL+|kY_?r2Uff4Z9hHPt0Xl7$g&P9kODZ+RMTJa`quXhSQ z!?8Es@ydO&1#GGR6q?1{>l!*;(hv3Qn$4eP9!P7ZMl06A*E;xl?Y#HdI%jDx6UxsS z`{s77K!k`#MVTk}5tO)jZ|MAgF?ZKNaWwDZ=yCVp1PQ_23GNaI?(VKZ0|W>fT!Xv2 zyF+kyw*(FDZogUbzUO<+Jylo!yH%;|re~&i`swc3oy|U<=6Pr@-fJg?pB?Lgf%64^ z+;3X}v8cZ2fHlD1iJ}nMLeRtm-~ZT#XCKl1o1o*?7QO}Z53~!}X&K&+99w-&^>@2a zLfa!^+feofE1(nR5q%&M;I9qvJ&Rq>{|%04KNKu?-<;$KPQ=vhpMWTdmiKL&8P0bK z_fMA%O#s^;7bj%GO^%jRugJ(H$f=)25@z%thl#-a5DRuvrF9lE9UyMU3Ogz+l~mwe zhzf9_y2esoGiv7c>6{TK_xa{_oY7A2SB7`{qaSnr=bfhh!xxtzyaY?(F*X{j*QG`+#l!r~tA6hCkp80W3>*4@twOHNk#YkRR zWZIe{4Gk}NXRF!A+SNnVkIg?HR8r!4&4`=Y$E|S%%CHX}O^x!2tgzi}C~O^BXC4pv zRP7Q?u14uLV>!?BMjR^o12y8OM$Q*Ktj%I@P4_-&MfN%J-3yvF%^MlVmybTqKOPQ8 zrpZT-PSum!u*t0rB(My(RazzH*_3PmwOxNFFx^`!{=n;zd#-5O#2JND<788mmhOpO zTLV5|inkO)`QJ8O+6=~~xAi|eb<-JXo%^%ae|=k1%NfthP)jc7e!YOne}!#k z23zR&qEUhx%gidC9j>KiXyzq8Uw)m3(VM~Tdb;y*B5$t@!pr`JWv3?k(2bh@3@eeg zx-#V@;Xwh9uNR7e?pFJZHd&XX57V$-^ym5XX^%Dig^VVJ4c7uzTHL+!K?-#)cGi4u z%h*cKi|^ADSY`-#ve#;RXF?AR4mKG$4V6!p4EMz%2wQ`)))wAhmDA_{thrfm7j3KJ z+xFD@)MOU|2hn ziT(^kC=kzh5}%gF+t-am7V!*L$~oXM=gGQbQs$L#UlZ~m5o!C(x5YjdU5@N*#@rjY zBo`m}_snkGt#S9IQ|WXFfZwrUjVGrw??dA4uRZk{6f%CEbFu*zZbjJKn`RaFBoSFf zoD0lq@7bHaGTfh=LETjt$G!TD39Z`RXw0u4Nt2zGtl5~mH6iHzz zzNxCgxbN%y*T>>DXUKKBS1!*m;&nP@b^BLD9WSkxQc;sT7n+;Z_%qL^7PGe;&?`$+ zwkYZqdAbfxJ9jth*S2##TUpW6iE3^S+k7}7;x&%@?CqL0t|wd(rU{1WFGrpSgC_m4 zu~&P^k5;&Mx`qAWUln3?d!(Z(cEidd+DlZzB2izSLs%WY6b%@RV}(nr;V|?jKmK`8 zXJ5g!_0n}Cy*8*$;oz2#U%TW4R{J@h<#&N{RzjWGrz%6C(!}lD~Zcl ztcRYEd$}II8mH$p9Ny5ZJ-a+n)aLX!F~P`LY#;5HdogXlT0BXMO71RCfGe7B^L(G{ z75>g*raG z5U-&v^0u77PH6eeSJ!^Dd!$%uR_gSiMyqN|cc+=YlITsleQaZ{dSckHFzwLM=WtUm zlXmf2bA-J25Aid!AZOiyns|U+oMJ}yYzphT`@!VNxJUM>wTSoROwp-b>1lJ0y`5Df zM_|Fvj;f#D9R;T|0xD{90p3;tFSR+R`e!O4f48hDeK|k%{jT(TUH!NBg2I>DCk%Cd ztmkm7_OK?g-&H?{uYiM$5ZCX*OF!t9*X31@qP`eSj)qT+Pv_}w9Ujq58nzbk-87yQ zsobTM;kbIO8f_=fU~lfq_CFC^?_FE;R84=@JXWJ}wNuA^Us}U1@Mva4WbD8CfMtpV z(X_RTisD7f)0Ve_yF_9iQAw-2t#Z zD?dvmUKmbtmiA<}#V;Pedw8*KA6s{w!g**OuMR!kt#Z<-ctdxbl=Uh9R7mZMg5EzK~(^VK!4HN3jpBYCK1L;7m>}-+SvQfVq2|{->dC)VNR2 zumi2iB5ZFRZ57G`5Zy^XJz%Xnidd+;?_Z9P)D z`TI-l-e}b-9pm$2hJa0N!{@8~bkFzh$ZCs-Xr)&8U$E2`GYX61Dkc*=D#EJ9niKYh zw^5FZK?R&0L6r#IifqrC8Bb#QEt}|dEZ6Uqki+uiCEDHH{P&xd_4j7 zCzIuk)#dTn9yN}3^NyRJjmPo4m!3E)S<`%9fJqlJ>EnhVqG*HidmHf^U1 zY;nJi+*r)GL0*781)XvmqnazsCMXb3GZAgc)#Lh)pit=z)mS8X0vpU;sM4R@^klwL zjpwhoj&VJ_Ztbi|7(V=EnNmG&0=&>`1H83PY>qUV>>~2KCwLQvwWOErKT;$Q1K(Zm zo%~pEHrRxscj#SGg^NKH9U_mytoFU6inq4RNwAXB^p?45lBl{WXySakUXiZx)VrZK zgX2jUm%3g;+o@-LfWg5`H}J6C7`x3#!AQL{Uh}e#*)&d&VyU7uyc;evM>cDb@u8`< zNq6bOtzp5&vG0T#L9?KsP|iAX+EIBWB1c%V$Qz9fYFV80!Sa0+d`7_<+1MvLLfQ3g z--MFlWG9cyT7in%7y(B8q7rI;&xF|e#o#J$!!H_P_*ysad^WH)(<2!)D~62k=1V-U zZ(7T_m&!5Ef=?i7Rl=8TRX#86`It}5?noDL>5azTd>b7W!wLWD{$yq*c1p20AzCl< z=Jz7!ApaR<=xpLW^;{{=$BcPgvyNfz#iGnKB>9vr8sP@Feo1MhGfmm|eBqZq;kDQ6 z=%H@N@2@hx&`b4ZXPD>emhB5v-~$I0uVG!Nh;whGry6o~kFC=kjx6R%mow|F#uBqt z8q}3*+cmD%tT*V^o!AUn_;nap$ZfXkGOiKV!wz z0#cZ9;^j-uBJ+1E_iMHJmDR(2EvVS(-lPThhrt`j`DNzeiscB?NK`(vX_%c;NRO7X z(L?DESm-hYFP>ceFID*;*LJPk&(VLETo0z+*hEn!VCHz=Crucf9;z6dCgkKjSjfHU z<&arWdV_Of*_>~0xv}9WJD(^gqYW&l^JZtJhkT2}5XReb14D;^+4KH0dsnmzyUjk6 z*|$AU3)b%fSd*7g&C-vjA#m+wZNhw5=u%qYus54D!ujDdKc&^iE#BXA*xcT3CilpT zT2Oo*y)smam_OYb{#>&ZvA!_JnQ;@FtVS84_PvS!tl)ix7uZ#XD+F6&we{#2dT&{p z-L7S0ASJP5gxzgN-`LF=^2?v@i%3dWyW2>EnMK@+=fxCHlpIvvu${N}LoZGAhqp}k z7@LE?4Q2=k(!F`P_`@iOD~?}xisT97ctMc!Hr@yJ!QUJ_GhnN&>z|IK{Qh0R&Zc$A z%fud&=qa_6Se zA890t@BI^K8#Nue`=?;|pAPmd(_0sJaql~g%!{AzFKaG;Ghaf(#BM^GUp?Q!#%>y! zhh=4?cpsZ)82#Cd0Dej8O)s#9`}dsLU=A{XbLI^b-xLvGd|Vmj|32A|C%%oX^q_ZB zsBYtR-(Yu)LdCg@&?D_m6ChNI#ID^sq`TPYu8`d}af8iakGzEyOVCLZB`mf~y zSXlo*>K$zVOYiu*V8DOVJN`So|NmdT1JscJtKI=xD?t%~@&8%xkO7tlaM*r+4r$?- z$lKzC$A!_f9PFfnF|Ylmfn;hhV1ydR(4DM1vQErGiiuOJ6?kJw@W>09m5C}a{+~qkG(H$55zC0&lz^Ww!-dKoXu|XxSO{! z&A7{!d!_Nx>Ysv#WjE|P9(R{r7lIlX9}gJ?9&Z}9pI&AypS7M|UcS6!yp+t{Rje1o zcYu%U9b~-duccIf8aRs?ZmFTrv&P5F3wc{I(SCjG+^mKdfKJxlYx0V#5NTO-slBIj(yKMvm z?vzjRVPv}0t6nhj_KGC=I#T$ShTpAmGbvmO@2dmDXzZCGXGDD!8So*hL@YAF4Zm*) zLiV5p_`n2Vg?#?@KQ#}|e>D%*30x<0SbV>~nuo7rC>z?8MbpA5Q7ZM(y0Xphv}9eS zj#g-`Lvl9=>-rez08P~gtlTy$fjGLct7^;Q=MY+Ou;K!`k%I*8Y7xph0VijX<}lF` zilWnaMNx1tem+Emk3p&skdv0+%Bs?U+#z_SfZkh;Ik|R6uQMW9yC7Sehp7PeO>P`Q zn6~82s2iE)Y859pvM4$jjRvrzKUE58?y4XgV>-xyAf31cev*pxX4KiAC@6j^xE|0W zz?3`SKnTQ91o$2k27A>T8^H4b`!!vY`OCks3Ubmq?7#$12CyR5Xh5EaWp7e7VH)-F zG05|}`{Pfk(9oar55>)f%6UMZ z$9&qqp2wfCT|C5TUZW;+xAbndamO{fRK)4j;rXbDcap=HjiP}}^zx?=LdjW%%Zv$A zB$BY3Y*2;)*dB0owUI(1B#Pyba8ss0Z;jWX*42iJ{ZlfB6vZB6MQmx25=h}E*@QwO zxtujfa;^O!FP*{-O9cmfMw{S!V^(Dn9rG^jQ_a5N8}$a8cEPLp8OAF$@JAmoI~8m+ zON}G7sO$D8UZqbOpiJLb_2*tChU9#x`y#o|gv{W=17qa9} zluL>sRG^>fidNCR8*%}+;6!sS6R#$!2*!|q0WcM&Pcw@qB%0qzUVWAS3cl(3)L@us z?2)LJ6uMaE*>5@-a}(!m=15VaeNa*ONO+ACKyY}l=MD?bEC;-T9`bhp=z;O(iULKn z4gO!y0|C^R;TxZvSJ^PaU&G&b{K~0N5KEYySAoJmxsdj;8dMtqdJv^iCjwoGkqxEH z|B9~Yi<=?j1ADz`4!i3|GJ_ca8-&A)Z0O|3WphPr^A6CU~ zYLw3UG*sV;g$Ssos}Z4tvF-8fW@6G4f=E>dw!tk)>3INHb_erwN9VhF3<}jqW^!F& zdHi`uW@rsz`Ls&^pus^5()!=>)#`uC`4CGnqsSQExsyZXikW=e#DIA2Jo;2k70Xh( z7oY`1v+G|vNDE4DXhjdvZp`X>E^YVi z*9?EhyX5t&l46kgymN2#CBt#&8Dl8XzFCO4=(8V8?E5@<2Yq=cMaWzviz}5Toyi7~ z9ZN^mc`9M?7Kc3atc?y=Di5>_2%z=IxWXUHb_UU>2$8x3wUBLNyYx*olQqmj;08z!dYv#a)PAl(%!9S6nE3m=X9P25i2X+j zjpT_T%MM>Bln+$$@u?7Tvx-M*%J2|^d1ia9g$)iI!kANSbKIZc6ehsXWj>wewOOnabVWTS@nBr(mvFlvO1ZmLuqNCfh-TGx>~_T zxcX`mRWv%^GP)q>pJJ79uh@sRi4YxHVkLWo4DOU+0pwPnHcD-kZzd`nM7J8+%XzUE zhuN(*buKf>F|b^y;iURI@<2I3E%MLUXe7sZY%o2kz0h(dTtQht0(a(pdfvrU;CirO zvL$(nD1Rn6sg&v`L1j?|!R*9*O%Lo}eoLa+ZU1C2QXmnxaO3aon+HD6$fNlAY*j+O zQ}dq?gxb*4ogJq@&IN=9HhjskRY3kL5}24LbCN}8$U$2sG^kAX3M8`c zaXx4Yp9&@gzoA-^pu+fqUJlNXq)l~fqZ!yz-s7C|2S~av_4%-(2aXTe57IpBtx3ify9BifPdzlm3E%*-2kTY4 z;8ytby-P3(ctK0ZcO*2~R3A9d%HwKq*N0h_1c6mH%5Z}y+&g@rlIXN?P5r?)u;_M% zOkst~;j(QBL>D?-WmnaMiL;(yRVIAwE)ho$e0;T=F$ri zo<&1Y698GJ&~kkneWI*lNK}MgM}4jj8N8w=zMpc2M-&3J2}u)d5pYrZr^x-as0b*^ zyTC^x`xrG;cileBCwV05VYf?^D-};A%FG8-Gyq%21yuy6e?=oXD|*5ab^rd@Qc~I* zC5q5@M!y^7uUGQVASD3?X+KFE(UJ(cNR*V|a(X?DB(f^7Uq1=^0#Pmu4^$D9AW#vq z3s$a6b|R6V@|GVyF2IFklZN<06=~C&?Fm^@4#i!P#MLlWz(e}fa9sv5*R8U+^-vjp z|8fB9_!|XAxK#-smqx_h6JAVLu5(e{_~ zh&Kpl0P1k2Fk}QckBM$kOh-9@^YHy2oX0?@WE35$=pi(VKlFytBJOvIRp4@!RT9+J z$>f4y`99D@9y1i`<5wC(MyAL#-xRr1r>^to@F1vlhSUcNNPUylD+L2v@q;Yq$=Su-h`w_7Kt>0pXj9M^p;Eixk)T`fC<)Qo zBD5YD2r~fh8_nw4(Ob}*#yB^!r3v*12M+d)0f^sR0Y#&zvx0;WlEIKl8o_7Cvgn$& z7{GYif$LkotLJBb&7wUb9d#>poF9FG8ZAK#mHIOQ>>r&BWH5E2z#gf?kvHke21PNP zx8XTMwoGy@ zUs&WMgWdjl$F@#a`JLkyKQ&sD_rndKx)=@9mFJ#z;N=JgcvEyC#>+@;*}f!fbDQNt zrWOuz(^_Wx3dzi2E5z1m%!op;g-;_M2_t_W0L!Ti#(eSH|!_bZvcAz)RRsb|x=2~M!%l8!_WNyY-H zoILcRjV`D^4`V(YK$>)GD8&YOnd#llUYQ625EH?VyO%z6}iogzC^K@%MAJ>7jMO8;_6UYg1iex)|0sG5WBKLYZ8UW){AT8|3_vx*JM27#T2( zXNS0{c^BFI_3!ZZa>+z(f`l>ad_-;Rg=?Sti|FEm74faM>4>1lMS-_~7zK?f$xB4d^7e1T(0xoDQ=y?K`y>lo$wT-0f?&P!Fs6?pfdH-I=Ah-V%~|GY7`62wD)0oC#Q9E(zuc2sw_U*;O z5(qV z^_Idyp`jdf#6f9jN$>M;_L+vJbjdoaPmv+Zfw`1k&BVBGR4Hp~hMs-Mep(D>*@>#_ z2h6=tv+N(bFcskZ>ev|DQfO4-Ce-gITX2MHCj(}04c>{mgvjG!3qx*w4?sK8$mV)5 zcsFyPSsEw`4BH#QzQuQZ4F0Jni0>qe7|uN3k!c7<1w>tJ3J=`1qxt^W$5_V^2Cm@A zZcO0)X9LsSjYfl{7V^MQ8)}pGlSV@}OrELwk|#um%if5a*JYxBFAB~cWT6OaW)bqU zTHa3^9!$t0%1y?+(%4PXDE``5ghS#?P=KCI^4GS16+7wP30VvYs^!{XqrIYR)hE!JD(7sCV&Rv{U^ln{GKIY@)|<2?K24i z*y)~zyuI)b)yJ4#r|ia$ByovjWo8DzEy{8LaEu2YT5{~w7XX!9U^vl`XZlnN`ztjs zU`HLh?-0<{WOenhbs;BhuYo5!EWeI;sNU-7?P$KuOsUrZq3DJ+gxFMiaeKLsyUD9rNTf1B;o39rg4F3_uD=ZEdG(g~?V(E$Rpz zG3hz}ba~G*kKe-j85^@F>AqzkCXeS$TRUBxli3E{i1eFnW>6^FkiJMKi63_*p_zaE zfQYd1=}E_Ua4IcWS1(?w88{SpCmKs50)I!iatJrD_%3|Bl}F|aegyQU(fJw^lx8d! zBEqS8%GB*hN={2l8clbN%rzVKL;|a~Qw8n%uwdp&PUDx1 zIi|xtV-Iao7n(Q|6f`%h?--T+-a-1|K}=Aw|0M!M75yl70W-PXFdGM5F`>-Fk-l3+ zG=2{^6lRm3d_Q{O%=`0*V;D5($+Q8U5W>#5Kn_CNYw@t=Ki?mUu&J`zqSAEg+W@@d z(gdmnNx#Lf7;CzV0de4au;`9qTVb>bMQ#^^UXptdp|M8i{t%?P33Ywgk##j1jS&wX z)V?BJtLtovp$Oj6Aj>!B%NZF14Z+^}VZ~B3!@D6UD5oyTif@&`Qfdt0Z9g3#`Bn@m zNQS}c7qvh+@_DiuDpgs@#SHN#V5{n&^!O?|y`~7~G(u~k#3}mW7$xfYHhxmf9hpzot3Kq!2`x)Y-X)xUOxex z&h`&hJTzuKkPZJc-sBybexUTBq^I*1vC}Y%-$82ILj6-k*VIaTA3%7h_lu+5@0i`B z(IS>YMT3W#Kp83Mk7HgwPBv|jQHQHIgp=n-f z^TR;Rc7cr!kcZ`rm0L{l&}Rzwp$Ik|wy}!-t`qaQQ&+rl7%V=h*Qs(CqVIz*bFtw? z@xrIxxi7c4*1fqVrL6SDW5#{lDI?DLDoToW2)dtmX?(i!8B|Kk+DChRGk z{RUx;w%%g)$B%h^U7syUn-6o(Ko@7CL%RSSo2wp=rz~TT6sjTxrzt|TmZ>VU$HFOw zvuyeUB^%V=CSrxR?1gZ9+)WYudktLmen(_~z%<3+yozS}tG`WU0QSMY@)J;@4fmve zLQ)hBhXy;v>qxOsv7YM9XN%CMbHvOJos|I>qE0tq;3x|@+J}U&5DOCGiSRVyQS+}> ziIqj!`~q}kvFyO&!ALLg_59G0ki2s>lKwKCnsarS!Q=ZVQuW8J1r}JVcO+AWL>+Igo7Heq5;bL_o(M^p)i+IyFO!*Cr0T(12(5DodLs%` ziG&{Ltg1c1tyb#|cpXEF+q5_}3@%_QRjU6Q9s~!6fZ?$MEMrz|7~7cX>{j_Dn&8io zKFIJ0{A+mV0*1$6$*bYP2bDEBtWFu=LY7!HR?bgFQI`A@e~5O~v}~EJxS~y)T1t`@ znY;z&0wFiQ(=>hxZ5T{A2Ww%Q_AlWvT2K3~^aolk#-kLx?knLDtKjcIw7Am>gSA`= zB0LU(9?uf8mC~(a-DUsN$L*n1iOV_1<*R62TP%zAqqhbrli(*^G`N306)-M$c(GGz zXk2E;3YYQJWP+Qi1xd=_n9Vz8#;~$-yJ{7Mr=-l+6<-OD+Aw`h%*1JR>jXSyYmL7F zm3NaaivVST&uDLN5pHq5hedyzpS);*!gTo)0N&;l6*Wa;eVG&bj)A zRa__`tYMZdYvvGhN#g;$?o9sa&Sb1)LkzY6xq>RBOzHQ))X37&;2>-s(dS_)=%R3} zIwF$Ov5o~0qL!~mGohR!O38Hk+Tu(M#S3ipHDrMA;i8d@(H|aB{_1tKrZ)B)nce)%kMjhvG)e>`s%<`LZcQ_(;*h_I~7x_hgF&Ya{*IbS*i82NELtu zJq=c)VW9a2uVE7Ry8z=*JL?biFh{ z5iaU(ZQAab%{2e-vQc)GQZ9G@rkfG>B3*ve9Bc0J&)ah7L|D@uzG^Gsa_D`2cE3DFYo7B7^&F0ud@i$GzRp_mP@e@Y zaor35nzy7>3H|e0yn!)FPW<)c;-oZ<&C*PUkC97v$t@K&*S5XhD6$V9*T8bT89T(& zxN(xw*tqjEy_ktn^1HHod}D?L{2t8M3v&K^xox@Aq6yotq*waxYJBBA4ug?p>F4nK zMIuNgu+uI3@Z>+F(`bn`_`DyXu6}SMyS(mKbnEbWSfpeZ5I-U{qzs;-DoZk_4D%%B z#!w&LsA}==NV}Wwd*6q_OOGmg4oN-D4Wkn6(|uLN3po&C(SJ~=_E8h01 zNa-@Px4~b0lX|J8!i)10I!|{X6Zg{I{;C-kHq?dYCdY;GPN3X@pI%seTmM^Y!Q0?S zVl}P|9O4`oD&93ERI&cZl9ZImvrWa9{XYxGp3k*9w_+KOeznbimWI_N+QEc08Di$4 z%QKO)+B`gj<5AlTms+HYd<=qq`?`*BbTK9ZUttd24sXDp_I?EJO2~~rHE>cM$Z<(a z1p@c@s@N*Nf+gv|4ck#beaFgN``5hddkWF!klW#e9}z|b?_^GPK0z<$gD|2>f# ze#GkA9DY5OF;737bH$ zGX71$1U3?5SbV2C6yePV{Ckxrusa{|4ySe0b;}9PgH8EXj~ae}#<>6K`0bjJS0d;^ zT8|@q;@D&wuE{4$r9tjkFDDf3CZYWVGi&uh7JcY_c((bW?kD)D%Y=3zw5(MED0Bx% zQY!Sb$CV^~ z?hVQP`ylolm*q3R4iLP1zqOrD;a?i{z1BAs_$ymTZ-m23q}}^!`S5F|ws%YFf$(HW zMN~`ojmHf?``TFi_Vv9K&sU?ThnJ^yyY*>38;)+hlVDqaLe^1nRDToOQPLyO=h8J0D zRJ_m$jLnh;IUDvKmSaakYDTQ%^m<_EYeu%j>%3Z$36G86n{{3OsL3^VNris7M+cD@ zwLGDfMu0DLd~kbR?CoIwA?n(bX;NP2Q0djhNMC(OiXcAUJ+0dJjM=wJx9D9U4Y?D% z>cT1Fci9xKf~)yrUf&Y(QOq_PvUfnom+q(Qj#HWIGG2nU^Wfq@E!=v%(hc%jf$V^h z%X#R+#|n}#cq$#2tnBW97MM@wt;IyD5s5SAES&7KlRgC$ ze+&lo3Ig%7H?oAOmQIs|F{yDLtu2N9WRKUIrMu~iU6VJe4eRBA3{~YI*~Q&Nq4Zj~ znp!08P;n=0)bXRY`$!GWg@xTVX-2Q9tb)Lfw<3Y5o*^3WQz?19?+wkUveSN#=esNE z%}a~PT;*3b(a;QhihTxSO$gAyzym2Nz&U9$nO$~b!j+wp%6r8-o_>!HIYTQ_$3*)UO?reC)v8rEI=>#*_{b>b@zL2Py9?}jk~8!w!9>;aRc+;teK%%hR_62K5hD|-8 zpH1jgngARDewxrhMl|qf<23$QzGPTGwq%E7HA{8gcijkyXu3_Oti1PKc$kGuj=m3j zU*Y~Xg=>{ik%H7nWhl=+^f_0JyQk?@^IUuwTXMuL+vgKOU~2lm9hfwJW~f}!Kv1hq%0I3R0&57KC;Ok<_U3cUfvbkdd( z`}2W5Y=gM!pE>#|HCw{4M(0yME!g+Agpa@Gx`MP|IUAiT5nG%q{j_Qv!0=a+dtm~) zfa4V^&>LVpL$n&SSX)3lffxf6FMAMUK-l?yv8?K=)4?y;1{Bp5{MljKaD2fA6x*e; zG@S*~-?To4(WLd80^Ns!O>oU|RzrY{;fZTfVSH~Lb~bI>W>Z|-KOou;0swB};6&(~ zoIMI@%6H74AvbAEDtVr_u>`!Qdt`L#JwLU!I8*y+H5kQg&DEWDl|^GU z)>*au8Y+`EXmG*BtJG=`A=sKL=UY>-)j?>fv%0Ad)|v-E11ooXD^(OhK&SA3ZwdUp zqF`sxAP#EPcutH~OD~db_|}_tcEdWrm+TbccEYXZcUI<1jbEs0>_ z-|v46k>-3FWI(DR*dhI+TF%uFc(^s^K_08s&nPi;!>&>%L2>@dGgOG;T27XwMfzz? zKf*R8;{~k_G7|iN1ylnC9T>pr&{C@*cR0{YaIupZeOs&TP046r>4bvZx?)-p2I zZz{)JDD#}O1#V}wR?D=k00hK?yG84vbXD^QU6J^>;w&9C= zZWp?Pm)6T)xfI(gii-JIqAUK+r=RlDwmPjY>~a^xxN7izt7nV^n4p#jxdCUu>D*L* zv%1>s-}P6+u(x_7Z+8CZrFjIf?o}283!OOL!)7hO=YQjBfsb-c1N?xxbgq@i!Hb0fI+njXBK z=g!1$X?gQ-j+6s#=hyKZ>G2G?mZ`FutJMi$L|`BP(3)oKDr+OIAED{7J$kA%QGMrf zkSyKe9I)_O+In+1$6f~XNVohi(DWaq0mu!BwrUe`nK3t#jOe~f6Y;G#`}PYg??Lmw zPRqzUOG{0%rS1mUWk0-BWE`mVF#CR+JIgO zzWX-j`JqCmIoF^Esi8vH`W=z$jK5qTwPb|@eQWZ!h@4zi&` z2?(HD140ly#(mk}0a~BZX-*2qaL~o2e+%|T$X+Z``Fyy~Z1Rmykkhda1q6D7bJNHh z@Y!DMU&gWQw_<>mA)N^4OU$7`+w(@~w41`pK7i8q*LRsY(DpAmz;lz>y8J6qk|6^s z`&gWGIA2$HQZ!K44u;%s1%Deb%VoB%R;9WM&g9ULgA(P>LisMEN+XbsQkD)!)AI(r zh_l)TuricitqhH(t`+@nrV@TaPBlgf8uy4y^9|a8j5%wrZ!0@LQhphQ7$YiSEUEsI zmtn$rwv<}A_=C5Lj;Dw}DG9`7*dZBo$Gm0#c}Z4d9H8;GL!3Y*=eL<-?re!nNepG8 zf*s57)1ya*ueIwhmWP@4Avn(1n3!MK=(le*UpyYFSru|S62!bvpJlcu);;dL^W;uf zUCGvJ1Aj$-`NYGa@SYExBcG(h_lH3N#ZNS8iyAW^aaw4L+NgY|p-u2p1xb$kOjy0) z^#~Oikmb6X9w6intq|LW@zk zcNL%}Y9h(ym>k86IZg8KGZ!vAS~0+*xS9C|=`;+m`zsbCX3cLG-&$P#JS*OUH=Rit zabN(Fgo`|rh}N)3$=xEr?$@=T1c7vFi|BPq5OL7q-aU2hvqH37s z-UW{dC(q%vH_MXCrLEv_sELW~g#ogG65}jz*a;@oo=mFQ;+VWBPj`k4DEE9JMR`Wq z4k4xFAJ<^S!~h!xU=6?+NjteXWk75Oe(Woo!4F+f1i%K?QtA5fAsa z*_EN9{6xWGNAzuFEdRu3=UB2mT1V72!x*caL$U75m~!EPI{|6cvZ|!tjL9J~J4B$q z0r6@dI024&0^)TCM`98Trie%SEq7y{O?CltD-ezZI~c6-Kf(H|8X!nbR?Kc9GtfHH8jbJ#ou70% zg~dDt&H4~oH(}H0g`Dc&dPUsHo82B0VL6*4^#jTJjrTqP;8s*(OZ9-snh0Jrte^f@ zW&sT{G;nzUf=~k7jPj5WQ82>$e?QTZf;AJt?Pzn}#88ZsjSc)&4JvDt0A~a=VMi#6 z)<$?c{NXay#$9zkLJ98o{4-+#;6|n^Hg|Sg8#G_>7JYAp69~n?M%u?#PPs?)T4J#O zGsNl#P6$D1>AKHOP`|9V-~{o>Nte~}%Q%nlT`V=F-hxX~A_Gb2STlSkBeVH;x+M8( zKoUB8Z7GSt!p_N>@uvbl#O_N3DYuk^<9}cSy1%f&AOIW0)@a0Em1^s8F{fCVTBwh^ z0QvPZy~)Zmuz*`|2XZrfdAWRmDG5A8Tdh$52t>f|!H=&|6_i-qyS}295@rlHy=hl2B*`5HzV;Ij{Npo(Qu4hKg=b1Wq3q zGV)hURZ`tCg>R<+8CenCET!`Z0x5uOzzIy*&}!(j00y2gFm6DxY2e(w4~tmW=}Ss~ zMnMNt?CB^F14bJe#2@dI?QsdP(JXhUsGn%yWLO>fpI?Oo4xWvEU+SZ4Yock|f$cPH zrcosyU^K~I6%yF=9wniVtj`#K`pySX5D3{6k^jM2DF5OtWu<2C_&8H03D=cp9^GB^ zO}}4R1Cc-~r>(C}PXj23FkS#Sm|7&2lO^FRsu4?19Wa@E0}QYDe?w*@^H0b|YX0kf z>925L`A^7#!MH&#%Rd(}CnJuLCI_SIr;Ad~>OawsfL?HTG-KUo%>e|X<{S+|eM}6j z?H>30t8qY?L{2-hkqJ_N(g8FB6foAm0Sf`p3`@0Ui3l*urR${jf6$Ed&_`Wah;!gQ zU>f~fL;Ne6vD$aYWPl225Hq6vAT&cCbnT}&*?PTl0Z}U?V$Uf{BqU6sPxp)7*ND`{xh`nIi&wa%J(%=NePscQRy=pQJ{y}B-5Sml$4<9 zH32G#1ebz~WH!G9P;dgUwv@WjCs01Cq0B^~)SO4rmM zWHO)*7yE(PMeYVj5R~t~QBi`c{NIc$#Q#3BB)H(!r!l}q|C$*Jq@aWEUN2gi$ijRO zlBPjmF(G=>9}KJ{@mx{}b5fyx$l_ZQP0<#53?(Aw-vPVN%SQ#&1;%5MAsIa913c0p zz$2;218_$yO3Csw_@>_^?*~w*Oj#R$#KU+>j*psl4Y_lCN>?xjUB69hP&~hBq>OU2 zjy!{>(Vu^Xn4*E8I^TktisjbY8ida^bIwov`1;-m32fdnj`(7Q zfcfEegnds5Zk7E0+w*_98Aue3M1Y$C8dQQz4j4>@7k9urqD^VooR$kR4?F$fN5Q?^ zuAO&nzRd+;O-pR+h&`7GG5-W>`EfPn-;2BhhW(U*^Rj^c*LuQhxG$a9(_j0R@rmVI z74L7Ot|r5G!8_&x_nM|Rf9@3jgG7XAle`2RKFoh)e5EsL0Xk!x*-A~)HBHD}(QM>D z`>l-e6|v59U>3Q5Vr8A@U;dcO%-4%l?))yzz+Ki1RN-HpB1GGhx1^>X>HvgT%nGnt zfa-IdboyWQ(ef0ru2aw#6HTAL8@NV>APwK6HnsOb*+ZIk6tRiw*3_6r znpjGcyCh=GFTU8o%eOTy2XgXyhpDr8HUE5LLdAOJIsP#Xy2k!0F&?#tA>KIn-Vt@|9Wm;)V@?WR+PYYH?$QIK|vc8|$S3%_;%}Atcw~SVbd+^+e zSx4n%j3QG#Jsd?{JK8QEBm40>*)8ClpD5dXD!zb8yQA<~=MhX=lOpp`vHaB19O>wr z>A;cX=u5)&+v)=!B|`rR_d=R;B6C&5ijycVv|CaCf=e7`+i4B>_taBqt}nbh+CEx_ zCf^h5%5DRa1AWn~Ky1bj1?u5)#b$R@r|`D=)JWFM<}>($063 z&r@-$skHV#k#b}V1Er|--H?1U1t3gn5OQw`CeSjRpf`Rextm-yVOs#m zZumDf;|NiJX94}mxjy8?+w0-D0G~|7OWwd0!!$-JQLem_&!6q=%?A8zNS zFBBYgxV6-j-k(nsnuAPfA2xlO+jKgILdsN4^(0n3IJOfMb!Y_K-VXzChS%ASqS>UH ze7Q?GvF(C#+8v|x*I-kD^AEFSBR!TTd*m}^Di=>)HGZGZ6#bZEOX30mIm0Vuk?E@@ zy>pw3V>x`*wYsI+xvGfF_?ppnpa!4Yf7_&^bKYZiQk7wwXi_LmG~gTqa}ZAws&Uw#L-TcElG>HxFVGL;ShbC7H_}IQ+fBmaHvB( zQpQ@YN&W93fCQrTP{=UT`@^Fxc0fYHXpf8~9o_RfcA#T4(EG9FIOn>1q~f!<(}m^u zAoPgV0U2N`l5GLDqU7h7`^zu|;sNUNs}K#jK|eA>@0fYxzQ=$+a&0Xde#|5UyLG|V8<`L&b)uu4FjMFyYv zlMTlsu@UhV`&f>x1PIiye+4yw)7DaYkxrFZ#orI-aX97O|CcXO0C^ffms!hoxhX#! zqHet^BsuMUZZm(+mv{g5eAgBvJi{*vmwRWzkEnSg-P=RX1%a+2z7iYHAtFpQ6$!W~ zGz+``@TzeDWq;L<9iUPD@^(i#?fY&sIl*LG3lwN?Y`kudjLhuV2@R!H-R)165#J;?ECkoGv2u+3{R#l}+d>5|jfmUwU_h@+^(qd2Ky-KMBT82CPU!tgdf%BOCV&#WtUx z7o_oK{N}Sxt)fQs`}%A0>h?CUuCjQP-^Oq<2)WQl`9^81?DuPu^mxC!7a~c~pEc#c z6m>NGHZ$G&E|O28ik+Ya$=^VZMj214o)W^g(wtX49q-#ZP0J9NnS*bf9XZ#d$Eo+@ zpv#9KX2RPydg%`4fnsUTD<_ebI~zVbW?^}2t^E&CYd%j}mN_HIb~2H+b;sK^8h%7B`08%>Wx$j*pYXXu zZHQZ%3ALv>6@H|)BPNaui-|0@tO^OU}EEAII@IemIv73qFnW3qOv5y{g*n zc;xPe2BdwjPMz^w3cK&U$4mCNw>Espw7ReFmRi(`a(r+u0~UN37;hweG#}YjAA99c zVixiSq`AaTYBYMjBjENf5ZH0rJZr7qL}iibz1`aF@tM z3*CPnO1!h4Ylf;)P4f*KB-cIw%ObWJ|QC{8)HgKJqeiyBz7>i0>mUQQq1T z*wSka7QMq2T6}aod0k(7^z4_^E!5D47yDgyX;*p4k9W+SIwSX*{qoWL!iU}CQ+8`Y zQPSO0*BzrfhtNHh(hIB^dg1dVUQb26C2dBL|9I{(@I^(t1FX4f=JI$^#~c_MIZmZq z5&3wqfIk4b#q{tdxrYE&zG>Y&*ymC3(ygtoor`>LkHL1)53r3&UAC6KPmR}G!MoBa z>|%G=)s7q?8b*3UstA|oM^)ZY-8}8s{pzQB#q@~m+n&^V1@v~l&c-OAgSD;F9uFA- zb|DLSxQt#e^!s>n8>pdOB6@f+?F;{#tATNV4#!pyb+CPyJPgkk)6c+?59Dd|FOr8C z)NE4?gTgf5u)f@I6b>=ageqkB(3AfcSEF~-3CGA9u~1X4FnJNkMnOwV9O_s5?DUyosW!2cpOC|v#vm;pp; z1gWufP;+RdOg?0y$*H;fsByUWC+PGpn>6s6h0LSrZlXnRcC$uk^pSRFXs1lZd{oWO z0~yOCBO3+Mfy5L4P3NUeW&~Qjl|6hWI-(7SX&u!KPPocVm$u&iYNCM zjB$5H@Abfi*+RESx&9Adqk_BHHDuYz8Z8ooqf*E0%v&S-ZSvQ@7!9qd8lOCC6?30Gy*ay&l8sA~Gl2$Md1W^P!Cy2)#I7rT?>#HajH@#j20Uwt6z}SK z`C(s=VK(}ArZAYHYq0-zH`Z`GH}}7N_f$PDJy`!{FTw^LDB62G)e4{L5l={d?CJLT zM3kx|shY%I+tajOXiHylVk8N%Cg{2eV_TPIT`|w}>Xmo8I+##Z#^FLDv2IFjFc%YEuTB1CZlcL`p3zLZ_UqyV_>P?m2CH5P>k@Z{#4|hm@?DCVX~hRm8JUz# zqN*r%G1&({q5yM;UuaC22Zy(e`584BALQZaZ}W$i3Up&$hp|G_@O6JWU9OfmGERSR zCdrCtL=o{_8`>%_wA!$v4F}_S7(yykw zlRpO7t=NrDO4J*4NqlsTDxYPSM%io(k!<2Kpf(D@i6yNf**ZPvYwzY(avATqr(5U6InWu}emqCz&AdP!_$85`SQNJJqGG@CF8%hX zO>#5g9nU<=1k&pPSCrtghT?|JdWEW54E)ph)dkb4<;!zZp+ zhmK*Vu@@ClD%VGcd-SJ|2}+i9%F`ZkpW1n{2L1^F@|F?Q#j%-C#C3ymT$)Bq^1~~! zEGh=Q3#FT7p9hMTyS-)K{`eG;;W%XlAAUa3)?1v@T-;>lF2t@#OilWe^LdQtcV1 zH_x0fef}7*EY?oEeT2@Y{B1I;^iPus#Da63g+tq0&QQJ!%oCfa(d-Yox@X2aQpkj3 z#>S7^vzA7A#9ZGp9i3!yAf_Xf?+Oz;qJ!t1hL<>kA-PW;Q3?-HmcxTB3w(vnxs!1&Gsd%Q*=<-YEO|sDB!E zyaOy_Pr@wz+Rcotoqj;m@qZ=}9*X`$*KknO(F5G4oO|2bW2`8zA1!x^8_9G4Zrm!N z@S4lXtjp5F246}(QG3ZZzt#Mp%|u7_yNL5mbSxf3jR{njNbXvEs$yO!B6GJSNVUEGyY;B{v~H{u(7}Z z>;E1wvHuT%go=l~2?4!=ftd*m@ZHhG)|uchSOdtC5Vo^*1|B*QFme2AP%?3{b8$2> zaUx)5_{Z|U$r=9`{==mBf0vx`cOd_XQpUvA%-NiPiSysV{a?u$I?_OLhV5pK-s~x8 zapfa86xfsQI%qwV{)zy45KSO=0vzPAAWy@{R*Z-giE7Ne>f!J1`Hd2r74fqgkvLRR zMXIE`jh?rgoRZIv*W-@qp0~?m>DSli6b#m~+%f)=m&?m9`wjd)oIP)@FX#J*DLLbx zvQp#~6UT4)HjQ|5pYwB^g$iLWVG=tie!f5wV%EpY&3Z&A(;cPNVM(g|=qrbXmh`bw z*(h~Zkf!9@`?#K7w=k)$uE$x*^yfpq+^1Uj^YYD>o}AxPjM4#qPcqDwfLyaOOs~9X zd}(-ACjJ=-M>MIFenI3GUBmO;>9`yJU_$f3-Kk%eLhjaXj@~r=)nQDDpOVzDAK&{+ zN{aOn2HKw#rLMb(5WUKMz3*=~yEVBN+#!sTs3zS+MyI^YV!SAyh8fwFNguu+k3;+s2M0P;(Qt!kUAeBv?$1B|077(J)|+E6I1|>0Y%z*LFC__2J6s_bIK4 zkGS+BN5Dk3RdWKJ?eAx^2aPY~WJlu56TNNN^2+^c34Pt37HMno>*ZI z&_oX^s+bfG?M$)Hv11#gGKk=O*s291AYM!#B(2UoT! zNQi%-!~FIZMRlx9-BZ||SkhVbVC~;P1d9}+<^wZ_fVWR$0%FxERnE5v3kni`snM?e z)e^QHw^s8sFgPur0j1Cimxkc%g#sf1m&;fir{F+{7-xCt*O1MAkb|_q^ib2RdfZ^F zgBCXJphh@64m|@M2AIGCk+gS^LziW=_fTU|@h{_mz&Ip02|6+C0D{S&gy6s?@V#@X zYF^ivW)_znIE%Bmac5}HuPIV`QIROlJA?mo)jA9K#G9Hq%+CLtchC7zWrjb;V1n@&|85^01B zlIOgf_$6$tg73?5FxMkZ-Zu(k2;|K+~3Ub%s<$p@D;rNmeRt zq2*mDV?@x`4oS8Ys3SB3?F)2Zw3$z!Oj4ss|%0{Pe1uhvRGyH{h_u!(jrfECOm ziK8NE2Tf{YzGXj)m=UGv-FM|RHzPPu?Qmot_y9w(DKH2K9onc_OtZS`+dzE)9~;UA+a~}s|jwB;-g{_K(bSJHUVwd5S(SHmkSVKfVyWrHcPf2sc z!Yvge+|aZd0v$YEi;iPty9yRY0&|HM)QJ5B8GXE@NhxhJJOYcufd&SG`fp4qa!t3DfHksFF}o3HqAINHvtUIcV%tT2hf(wl zmXMfXQZBOZyo3+~OQa@<^NztQB@t1`&;Gf`t$Kt6Ghr?eMt6aR zaE%MOQAJ68cio(+Lhl2da~n2JK%hE@X8p2_chV4l%eC5%M*=0JP)@n5eH6PRQ8x9E zU`asU5X9?*(9s#`05wkUbVE2;%zW)!#*l3@C-8O>L3{`h0PYca)P-lg7H4Q12Tc*N z84+v|z2amc{>I{IM-PpR6v*WAjNoAh=<}*@DSG_YRaxS*sf7{NMi*dylA^)*q=NgK z+paN<5$~;*P;OjCAa4?TcA-Rj4Vk|YD=8QXN-(Y6`$reMxyo4b5~2t*)-3K`ulCHL zsRt<2Mwc5x{9`odqlX=v1}eOWZFDN@lL|bfrXVI+qAzn0K2iKhJG_YyRsJIpayqdg z9TZf1C0-YX^a&KyEOVT~!tjBQ+)|}xQZ}Lh?h6!P5 z-s<*;IR%VhVl=+QTY+byY54o2ug$HvQcCNzSPBVwl?WmIyAp%K_6b=!eN{WuKa-Vl z4dY1}b@H+hiFNO7uFDVvQ>DTI9H0SaBS}m6yn5**M29r}ME*FM4ZhuHLs%aBR>h2k zSOBVmr4oPI(`Q?Nb>RzMelfp?0;CHpE_WqRquYoIc|w9h8>J?t=BDs8T}vG)LYIA= zwUUxT8rP3KMi9c%%4I;Dr*6g(AX#yhsljvwF+Y28c}U2JPovcU$dlJ+|_ih&>9 zK)GW7VmgOl!#!gO;)>DF`&nhg{7n{2vUc9=+r|%sZ<|!)y4QFNQqU3%EF#7JWjvfIw*V7py}t~gP+&Dgzi;HlvC$ND8$a0U>< zNY?X_HkQ^wK3^)W^RdSxr4q63)lG}aCODKyT~*8H984j=D%v2ll-Jnmz6fCkn19z5 zTgSH00wM#XYv)0xtgQ-dCS~M_?;+S6hMFr3O+B&8$d8-K4`m3fAhfv0EllK2-=JL6 z1<}Kf8fb#V#z-6iLNE&!APxA|(k#)579c+%YheghzRBh)3`(l{%VyS*s@}*<)7+nV zRvmL6b_n^s-^kl7ldQ^-6OXVv}lSjON9hMGcW?Rzf2P-u_9}3>;tvB19N-02GVS z7W)Y&3I!J95eff83-Epz3(oACNSp*QB~Ir>%9qIpbvC6)Fo%m6k_$Hnu}X`#DS<{6 zBS>Ev!dkC}fkH9^LpC3}uvu_IErq~~wziToiYsT3)kn?U1^@!n4Aj}k98HLkP4&&J zCXmP(;Pvr{;Edqe1&wTy&l4;lMW^I)eokhdgTOS0^5U)5+N7DzM4Px06X0+sa|Q<5 z?2(yUWAkJm!*DUTlFfsx5tbXI3ogw|-V$c87_HMlG_1-ZB?64nXdA79@y5>%z}Xy% zVKgWvtuqR3cFnunk7J{d%+SM45sI-^R00NR3<&cYfi8X$evAO+TD?T@Hn!ko4bVlK+!T4(7hnLxPzpv~>urIUy~v(Yg!#Z+Y?spfsII z2aAUJSa-G%K6>qx=S<>t&hzF_!j1R>`O`XFy~*Z~RrtL^%v!)X#Hy-v-=}NpI!VN< zE75+DWm&<@B^hf07D5%> zimpr!+U*`*#Kv&XFld4-7W<=qx0Ma4i^-HF8?#}LVrHZiNEFprvS?`vb zZ7KMJ4JgWk3EnZ#IXe@B5IPdsyyOdij}&0>AC1y4p;qTNN(SCIf^_qydExgK5Zv(k z@OZ(%Sxzz5D1>I*KlcrIRUlGBsN~iIXlLOZeZEbCF+%l_j<%q_%sS2YxohgEF0;N{qMm4STPknd zvCgacLDCgh)hUC}OdJbo%t1=6G6wSw=3Uo5^dLcw9KeGhk1%32ygX07kRpUHFbx^wAiK})fnXI`t{in zi`6Dk8pab;*=@4r)fi#`oC!YIb(Xo>Mnzi9Q-@W=&h?VYofflxtwa8#Vr6{Bi|QVq zVNJgmG;~0O-Sn4(jDIZ^>@kfly58Uep92I3J_iWbh)3ZD?$apH#%Tx3x4w*GihM0x*ST*hU6G z*JG-c%mx59wt} z!qgk(C-dq8)g58y^nj;HH2-&0NLDl_!RBau}X>flb=bZXspN95bH1jVgwovbX!trVy{H6oLu23d7V%gcrg!}^xc zK;fo=UVLk*5jzz$m~o{Kmbh)53Pg0oe3$|~YS=ljcoRY3=LNgIAPr88csiOq9dwLC-Ov}-HjYQ7TP!%JSu8kr z5@_E&1SG#bIz%SnFhnL4@hd`+!>&D1q1JNZq5e;U^f2K;yF1jp)1q@0|&yw_W;=h+%MLe-p-;v+bl<1m_ zXHF^kCou(J{>qb~{>psKfJuzNi37j8`u#Wli2_T)>lN9F0XUBmA{%VexjBj-oHwSFXBR;C5{ z!BQhpsV^hqUR%**9)XE(I-}Dj#?M$5Gr}>YBX+5!DgHhd6TQuGfv^2%-_}Uw$;Y2G z#cTGqV9o;fgX)8bvyQueAUscQ`kmXGD|?eZ%>^uN^bciyJd~u!pR1`&6QlNAq%3$4 zTJW3r7ZFRo(mnXFRbGD4@|96$KRBU;nB+^{Wa~Sq!r9pgx34@jwU`o0BuhhmiHbKk zH}vFwO*byPBkdB^Psf&43QcD$Mr2JMe4hN8!6@y7o}X*{PmDlwOGAwmAcfHDI@Wa5 z+Au@#CDr&gAt+`ovXB#2QGto_4u|xVEeJT0hwu-D-7vvh!cWGYbU!s4JeuxktE#8M z_w5~wP)>k%eR9O3=0Od<{D7`mlmbuXGP9_r4*QNmRCVU3*UWmbgc;>@`juT$jYc!_ z@LJgtA2hN*(oK>&=6T<-`JbHw!+5iV(ucJH7oyTq8YuLFv&CgdU@LL(`GwfIP1 z_q8$uJXd^4<}}9U_i%#!D!h&P3Br2Qo$#z4NpGeWu1;5JY8+QIdc;NTHyfaO@h}W5 z@(YL-?BFDWGh@PQJMYfaf5T^BYW~I@pSKI|*dMg~8o~bkLHx0~)~^+R*ruAzhHj0k z25`fyL6ER!1hRUzJ1XM64{M=0h(qx7MviCUlv!jZe;mdq{Nc&Hp%p%yRFLd?AaPyV zWzyeS^i=724Tu|!Yy6pKKf<7RJ1Misuro(SG!7?Zp>aZ&cbr|~nuqQbit|h}m2NFZ z>obta8uLK?oOUJ@qo9Q|Fl-JlFBE&46f)D)hQ7hzj$Ya7`%BC6U7drM+qpEsOtHV! z^StfZ@J0RhJ|N?ghCktpqwwuU!O2dhp>GmHCe``Gj`tCQ32P=|mljPYXcMH9;D?+my+LaUb^CHX&38n9rUmddNj7{9Q+j6yXIL;NMf6KM~rK}k*$X*)Cu*l*`Y&NAZ4_(e2Rr$ZIR zR5bu7Fajyy*IDIX{XQK%hDYp=*iAOKM00iEVxaRMM=ebGPKZ)@U=d!2Tc4AfwX?i` z82@PHIv$$#eLd{WauB_qrf5vuao_mT_?WNCepJA98xV6bt-VKLO%1$!3f`)*eeD%C|p~-edq0 zO~cX8jh)2zxyEdi@z%*Xqn_~Qo(aZPV+=l(W7bzHCZtbXnkL>^EJ(Jz^_%o6nP7f3gM2Rzy>1s1R!+uhj7GwlO4Wa3!%D z6IsGKVvl*Ky1QDo_M~5EtGW4$^%&p6eVt0bnxHE4DyVRso3~mJ8(#EzmOKVC|_^90+?(l%A8XJ zt)xP^)ozAir2;!Py)sa9tbF%{^1FUhv{UAJ&C4raFzf^78z^N=u9JJ$lq5A7$~1d{(ZA|ZFBzeP$zDnbi)bL2|v3D)Z6%D4bC!l z3YpWc(aI)T0w37D6m8%kX(x5)r;iMMj_Vd#7EV@QOOE(WwnU|WE)z8^OD3=POvUTp9>zal0 zkltTb%D`RCcH1J(T`NuzvD=Z#*cWlOtI7eSL!Ew#S(ssg%8 z(b1&#dyJE%WCFR3SND$lzLuDl?qKWd&9B*_8&lKBItx|OmSb+!4@*K|i#8N>D&DQv z4|99tUsX>YHiy@%)XN`78HI4Sn&PQlyvpRG#Wvny`VU@rbz8~?l&+_~W+%0Gp-Pjg zojgn}>T0m8hF3=-D$i6kFZxte`K{sTJX`DMUzIj>v64Nmh2BTu==pW4uUmGDl=p0@ z6QT8(bF(MAYVjGeK8+AphO^*196Nq)c|)WqUUrGAzl3dFrBCwhFamf|d>E0_5@ z#oBJ)QS~~+&GD{t=>c@QOjmdtfabxkI(ifk%KXc4?b+!_GTbCoN1h|XKP=m2lDSo! zRO=57N1k4cOY!ZKJ1zacA2Jo)kSvVCP)2>nUKm9P@x_Hr$RdQ5H^vYah*pKPNHch6 zh#Q~~j7+RefVi*tnMM;^m2>@U&fPBukQfF%*=tLYhWmLoBhy#RWUt>9R{718x%Bra z9S@`Ssaqi3?$lC}%Hv$?CT4~<=FdPWOR2~1;@Ts(yCsRes&ZzseoX56`Q{15q&jy(xpNkXEABR)zU)n8+Ay3Jb zg=kl}-F9!|q?eM2-xjMne)}FdmtX!2YVf#uyeQQ(@_Q6jo?7Q=E0UfSZpF4-@44MR z!D%yDv)ti)Ez8DzwBG5kd43P2O}HOSKCu`FZ+>eukk*;z%G#Mv{%ZZ~F`rD=PRV2A z@hh3%dW}hgo%eOzEpnHaq`pqBYIihP-89P$?^}~Fd~Fvb_b~>3B#K()HsIxjY8^wa08F#;~sS`E$!sndjYv(EvV9T%j`O z!gM*l@Mx6;QwYQJ66?)1=#*Mc$a&9je3+SGw31s$Z6TF%Al30!JXQ=4wlk9xa zk<~JRDqS{I$&xcseoxM`-VU~5Y?85dEGY&l9lCc3BE1KegX;950UU05XlCl|X{CARZ95Md32IFmHtj(8@tyjZs!-_Nsmx)#Z(2`$ zVUuMKFYa(CH?1*GaqN6{LZ=7ZMYqsUPuX{Uj8fgoQgIc&qv0BLJ{LH|v>YW_cJ0iZ zp0Sq!ntZ7)mg&fTKGfeAHC3f7L3&{<@9Q|@zxLk~eN!~asR4vH8}7C1GTtY4+Wk2s z`*|fbEq-94(B+XJ)uFyx*8X|a>~psxM#H`pW-`kZEnn$m`4Q0z51yVi6FkV=I%C*6 zivtIS8>2cz=j#B=$4}$??4ZcyNM|-d3hF8&vpuu$er@u>1U^%-Q|LMo> zg3k<{%ur(M#E|E=b)DsR_AGtNy6U$2s)ukr-!RgV{g-B4$iwAzjkArNneu(|Asp_u zo`=55%u78d0|3pgwq1KteTLQT%jSL*?TvH!33K7Ay$<){JFskZ`Gh;>li8T(vX>E* ze-`Y%)_PvL@Ijo_q~&IN8|615fNML(L(eD%gBCniCvqa!;Mv4PH%GefwdH@(O;QE4-d7 zH;db7tgfzgs@D7S4631vvDrDHygwE*Z~%jk5o0pjipfzm%R8byhBa;xF>ERHA1s?m z5+gZEynBGHCl_cnov+8qP?E#POPA-~uC@4$LGYw7SI@_V^5k8d+1a$Oh9h-!n{dHjx>{71RQL@~0{kP1v$Oe__Q?$VQ7ags^X)i2X>e28UT|YgK?yA)m)>V`uL}Dnt12l}9$s3Ee|T~O z$SkmyYD2$#O&>iWNnAIUO1|lzAA`{2VfVkYqfmT(mA1CoJ80%NYjw_y?9}s6VA}h( zjJ8~_U$Bl~Mn{#_oOn0L9PZeRhg5jkN!DTu125LQ3XQ0kphdqIM3tp#5wS$Am(*oN z+9EeaOzHyso6|$?0OWFV_xk)GyN0|&$&lgmJ$9xS!L+>`?p zc)Z+A{q`(*8i3iF*E)TWzGK&Nf9&Czp9)6Au0NId!GLxDf*w= z-26W)Df(w1{~t*a^M47b{_m0^$r_TDxbEee(gx6yR{CGR{M$*ft_(l~;U{{p46ZtR z?Xe234L&|%k&Q(dQq+>0 zcu&s9Df^*cmM`CZ@#0?6lwcL=$NNpqJbUT!aniW&%To@y%STTG-)G+2C;lP-M;XQ2 zVaaR)Hj=7XTzsj}oKhGxVYX~rDW+wC)xKXg-<#O49q{u=jLdne?5yZAwIC+71k`0s ziblhvD8&XLg=SN#sCCQ*2q_LP+d%2#B5jj%R2}k2G*u8(je9BzYCZaMRp%)@6Tvdw zkSG?g^h?!tUHYP!#PLYGw4Uree=DXU!~+_L7{+1JJt z)q-@=s{iODOteAM745`*pY4!K`hKuUDw5vzx3MO)52i{Y|z2{ox%J z3pf#4l5PytB2LHE+W@94v6z*T$!27U)wBj*1h9w|7qHLi8XkYI1^+=)6_W>5{1jD1 z68L3d5-FlxG*C(g8;HRU2Enpb9;?fpnL!lO3m07+FjT9+Nn3i*t5JoyC~@v&E2mM% zmnLrebBo8ZPWF2nrw3jeCns?^2XQOD9(lgvELoa_VERR)}0?RBBSG-HXNg zA84V?S^aP${tQjT-ld`r<`9qyhR<6dXdE$#9-9&?8%3Xds}DRe&Lq$nszq1<8^1Qe+Z=BtXdB+Uf6P zdg0Y|iY(Y##qW{^lh#v%Ov^;ANbU_zWn783f3z=Eoaho)+9Xu)mCzvKGEZ$VGf%7R zrb51Pw;<1W>mRad{Q14F9P%vNLsH)4Ya&R=SYJ6eHiWGYnt0FNydTaOw;5wRD5A+5 zkA_laNSr{8yO17y6A1=IU6GXeOFC`Mo%F0!U`^0{QbN)YM~3Nwq_IvE=8-}7dP9In ze~VGq;Y?tPr}4Dt-dF{l#xKKq%lY0 z84*~sj?hR}Tk259ebC}T4vcHC3h+mx114OL(E4QRn}aoItfW)X44D>xE^eq1eg4tv z6PdDdt)m)4gwVA{3C*hcg}_#;PY!j>j{cAfYsF#7r0C<_4w& z8s(?=I51}A2+Ea#+GgO;{0Ro%Sh!+}kxb)@!Hoc=2@!+jHC4PwGC_6`BDmwE_q(<0 z43O5Wz|Lvl;eXQ^$`DToRcArQ##-0gEd*dxjIt3UU5oUzZZNcDo|5^wk!b0h$r&(m zLPxli*d}WT3Pp}Iv~O}(71zzm7-KvvFy(DkXg4__M|=*!=s?z-VQA`#Z9%@;WO*n< zXcer^U14D&d-^8fIzd7$@HrM6`!Qk4#!+|lC@N)hHAR*P1G!g*N3nvdlM)5VdLi3OzTWbR93(Swlmb*W>ILxx z$s@S|fmKF6(3wUGilnOy40PRWSSpCV+ymRLjCG=+(RX4@gE65(bqg4fZTCJBwy6Qv z#{e*gVx&0JNS}f%=8$;g2)P@hPEwgda}>)5Hsw(`lC-GQ2N02r-~eY+^8|)sQKMKQ zIAa^-@bgrOZHRw;C<_E|D2GWfhqla4DdxqybKF!>CWxl@rH)WY^fy$JF!$G6%j`{w zA(IT{?B7t$735 z_W*)E>yN3N{Ty^|J1S0-0!5MHdg4Lh44b5lU?c%|_Xrz_WN~3y7UiTQck`;OAfv0b z6N!d3L%uAC;Q$Kc9D)V95kW7ipevlQRKZGO@Jd>i;2M@`F@yyS1(F#IvW0GgQ?Wv# zaV96B6uAiZ5O5I?V~tmYW*Stq9u|SIflgTAKv_SB__8BINMt65dHx->{raa3janh< z+AYE<(w%pn5m^HE=)z4t6`7Z>^E_ih+~AU24d(Ji-DDo}{UiwpVKT!)C8glOGJJ5J=~aB?s)&nF2Ud)}6j8kqfz5Z|42J0VwzcafV@%fz zh(}9Uu|6YcHdK;`$JJ{;Sv~Ge3{QizBnkRvxNB|}-6tpMI#C>a-6rG)2BL^kcl`L| zU=Le@$SZ9w4dQ*g(Y)CfcfnV2E;BxDF-v5}eCvf3!hXbn8=Drz4M zAa-eTw6-m{vh$#7dZeIcyFIynR?7}dT;qAD_Oy#KMDMvm9pps%61aba$2@nndsFw5pUCACw+DGL@@yCQzU<{+ z*#kd{r@-aFEVt8Qf|LPO!y&Tja!;dCiy^#7>Rdx}v7sF_`%Mrk0!MRlx-|_7sg7Q} z3~Lez*=b_&RjL!!hJl=iiI5v&vf74`(}=RC_2V9QYe_Js<6p~e!?dynHU28^)@Cnn z8%@v#uez>_`~;mmfVa_$?{_b+=Dy5_h5n*o+ksTfSys#`ETGFrM%mkZv8Q7=kQ7kX zZM>-c{D5Zl3~z!9mf9ZFnoR=Ul1-YDp>0F{Suq|zE66Jk`L&9CY(uc8S)>hqB$+BG zXvt~+BA0w3spF)lT|2E{GUQ_RaR}GJY_kAiZ-@eIeowB_Y}C?mjkj?AGMTi%i9u4= z3E^-g<}|Zof8^-9Ox$v2Ki17I*P$&I6W3RiJ=Q~e-?!GOHa*|SO^f5}bT3WUx7O~q zbX@-GlO7IkJ~pT-Y!7QBE;cA!oZx79q2C8}f=4R^&Op<@hGEFOW+l9T>RPMu|0$)p zW8j5`Aqh$TEnNHl(?wJHzq?)xTw*s2t%5lf;G4Xs_>7{&jo%GTlw5*0V;8ik{h}DdrqW9 zcBejHQ|5m>SizY--4`1Ouf-L=%hFkir)!dz`nJ*~ZWJSve$j2nUo5+CsMN!zDXR;D z|6=kkrQY3^f>CmY<;m@oVcHhWj|MnlrcrS`ug_rJWe$>t;hZA(xG}%!pXszHCuOuS zy~lb0Si~}QLP2w%_ev%V`S+7w-GlFnmCL@f;30Y|e+IvdI&-5VjJH$cRysk^S6Q=n zwYoN6yg3^tcM3DF@>r22`Bs?j9G$6^*H|79j`W*361D@xCPAp44!+PUzk8)-u%H|w zvnzJ&fLJ*pm*<))9kZK@{g6<;*GU&ux2Exq1uroX#yTOc%)q5Puh;DM*$h(-$k@}_ zjcjUzQdM9syTf5g_#s$O>XZHj??Am63yF7HmgX`YGy;6dZAy0OfJjAfvT)9BapF4Q zn){F}+sp>n1uLM)r);}1y6{x znPJ?CZIOPzluu|93@}kiy5IRanCtw>veBmE(l!F?5yW%4WGrK zO{XU+ltRh{Uy{~kCwFqqo9$L9JI<(m>J9Z?@8KWnTy=2kW15J|*WKo?W?{hV17fyN zhkWLHB84kyy+Om&0QR>?b7S{ra3nsj05ctarDLLdix>E@%VqjQl7)Gx@)FgqZHu7X z03T)L3Udov%cQ16g%OE{hOii~l9DBk5GRMA_a>t0Q9&?IJIB=ZPAWwMa>*{xSo2I5=<)( zT_b_J1VU%KFf-OKk+DKDfBOPL!&-aa16uK2H<`3ld{b+2?OLIP5nY5`LCnYk$QB<} zV(nF}%HYinu;r6jJo_!+K=ulPiQg9899LwK)r#9WZiQWHTNX0KRM`X*dafwTUaR7< z9fTZg`FqO_|AlAoYS&q3co3kkiMzp)E9gJgLHyNHmmMV@hASfGu!-R7HJFp^X1ny0 zkWDZn!81vIlDrX%i-w4D%b{ixdm)9Wk0IFmH$j7Haa;W!aC>IRru; zhbRLtk2EhR1NtWKj_gD7NIuDBdESbDPO`VTpi1iDYPw0)QI~Q?>0!7x2|CwA{Bm+@ zI^}1cs7MBG&)$6+Z}re?q&uSx!tak;<`Y)k3qEP06}D<{j>q)Vlo;nU*(qQ5#OPiC z74Qu%@AkRXd}Vj%Llw0pjIZnP#KPz51=7Pqht2k!QTHto)ejL?eMvv7gpc3I-6r3n zDBXPTYyfuN<_|RJ)y^M?E6-`Zb-sMx&zh$E5SjH3qo(MO$M$YkO_RvFu5mXCFg0w2 zb@8{+BO6btAIxl5D)4!%b#-udyyL9r)#Y4#OyZo&o$nL44Nsjo z(pTvS=xpOcqNZLV?@k-OD= zJVeOMM8#oix8cw{va*$^^Plo-du$}}S9UO^qn^;_uoB8$^xD1S4J4_hz^C8Nrf}*p zMH@|B-dDH!thYD(EEfrQsh>(cpDg*|q=((?k>UI-Q7J9+}+(hxVyVca3{D!7vJ9d-gEl)=srJt490+3teUmf zv??agJKxIq6Wy0Jxcz$>T(ybd?b@7+DZKpQ z)&*iCqUh9R5@P1s)i_(Q6mmXFxFH^Y)QG=ccY27QuY1|J`M^eR(AlaI zZO1xr^};C>xwsF3^JK(~TI*i3{d&oc+1YEojh8N=YeM&X3V?Z%omXZX55lZdkFDCP*u*)jw|?sv?;LEv=GR-swVAKFrI1Hb zd8Uu?nAvTRA^fE(%08T+`zM4Fcb+M&Z9~$}E5)Tc8MKfk(af^c4hH?xIO#wh4iZb zAB*SzEDe7y7qhT3{O`r{|3v9>bHmX8PZrPrmA9V$zbu~rD?<0bES~?@NZ0@WE}nnh z$p3xu{4+TDf0W5ys@jOd<^Y(hpU<2a++?QJ%Pe}#qHDC;nG5{DP)Bt#+H4ShTeb)d$PhyU@BlbG_#U1A->w`dLLRNkoeh5&wam?{r-^7 z@z$j}{$cU)wsuVLUYq#TJf-;O+wha-%M;=~*q|g`VSRluk`>1{E^Nu#jEMPeS)#6v zse>Wi&G*M-ue!}lpQl6Ag>`}>;2J;M>rM0A2gBe&7vI~pt?j}UJ=q`nmQi|q-zyy- z=*O{aUjgqfMXVpn3Kyu@FId5h$Lf2STX$%cXNY5_6(7R(iZIPH%s`~06m+Fh@#DO* zVlBOrC|m2k(3#s*QSReqE!wwu7-EG6?y#OeM%CCn%u##JGNV+r zq1&m!6jN>zEzsA|p?LClp^vqki0`ESm!5JOae&TWv?A?pF^&lMz#mdQO8H1&HIK2z z!*`b7N}3W@O5#}S!ZvQKT*)*s?c!5|aOF#ge<%)47}t5y!HSQD3s5m7mqq~zb6}%N z#XLq~+i`?KqsXt)CI{8V#P~AW?FN1QUCi7W12>q8rf4mBkXKBL;oj!6tEnNb->ydnYE>l;JrE z7llPRV5F8YIU`g8@d^Pb0s)Gx$)oE0_&Xv24n$DGq!eS$IlO_Is;j^(TkQ)k+Y*ThY!*}?5e{k&j+8H}{T9G2Dj%zraG`N{;c!2JV=JzKo?t2Bqd>b?zR7uo+qtF^D=y^}I zFW_L|gifIbDMVykBnD6`FZ--Pi+rqhLr4?Dn{(KZ%~)QAi@NKjn2LJbzj`<=lA#)c zBaH;UV|c;Lfk3j2?DTE#f8}L?5%>~8pdO+HslgM4-XU2=V2+cYbLTvaV+lAAEZ-CE zgD)KLKzW8YO&e-9)-xrhQnxn;CE8tvRr3$rvDHX@O=)^ROZL~XP-REL zic3-9ZudlRsBLgL{ni*$#yS!b`Q%@d!xTYZ(2R|+9q_ba3XoQ21{&wgP^1HvJEDGCE)dU@-zi8O9qV| ze0H>1VH$EAP^ZK>h472A&)+}6K@aK+pRp0q_wcWCs>$(R4PWi_2n%tsz)Bu-aItor z$NeRcAxFS?NsC5G_39$8S>G#TLoSdKM`OPOu_rm|MG$u*| zSE%Lyeoet(lRr!0jfy9+hSrVDfPXiq>ypBvu*=>9;BztxTUaua2N;uKiT>4U9Zg4} z3aBYn^*JMO0z`#iq+j0u(1;r$1B%qAn;L*s{COxsPEvly*}I-<7G@eb79t>vZ1PXv z>0Z>&_tFrfvTQ;pL(-+VTxm+dD6;Ws7He`$d2hQxr-Dgf8a@jP?Wj??={d*ad(tm7 zQ|d8)v9o&{^6@9tD+t;vhKfarp!BYY$6`+T6V))`!xUz0#d;fQHfrtZD3PF!Gs5w6 zMkQoBAxIBdL~Yz9Rp4TBOx8=ZCZllN4rOGzkY}=(iLLcZn23VmU6AGzI4}X%MAWRl zbfv@;V5T_Ncy&$oqd5>Sw#I=>Jl%-`EN&GGP29Ou5d2Pug$no!V9iB0WTC~#7&3Fn zhR$StHlu`+%`#JoVOTl{ykexzV5B?JLY>4A)~BM36ez7v2RRk+LF32a_}inaINS&D z4cw(v5aN3_znkPizxlZW+%W50?#-H`*vpwY?p+{|TmOjC5u{|3TSmu02@tW*#axy+ z^0AutF7FcRwij?ih)BZJL?@4G+kW|k4zy1rtq{4 z1sZ^zIsn47ebhj0I;%*LR4TssMo^#-2`o=VeTU|V#k$yNWuv!YzBtBPQ$k>KJVyC0 z0d}r^7R^EWZz#rIp<33qXWB0{heYVkg8@l79|UsHeGe(KocA{d+bR z^P*etA(cy>vNPIz#pbCy+)-iB&e=b28_f5CxX>q$PX$|EDXN29k%N3xm;OVk~) zAySn;_GsfO9RZGd`(U#UxD-SY)@+9wiGU9XINOC0vf_OVZ+M7f)l-EBS=kzeA~6cJ zn#4JAZXb4D$g&?7WjEyHT>lak+vI3{T|CL&6NZvs46g^8Moq3BW7fV+Dcu(Ktvh32 zu&2CmyHo)RRPA+`X^&Gr_OZ8Y4Ro%~m(D1(KcHc*BFC`pVqv(-Q!YMPC34mI^4AP= zx#)T8k9?O6E`J|ox%g00buX;;Y3g|3dsW-T_a%jF8nP9ys8`nPb%Ya`M?neeY=#x0 zk;VWcRt$&s+?|z_P084JF;C{Wh!OO@^3}Hbc9prghD#Ld#L6@}7Mlg(bmMZe)jj2; zeoYo0nHRbYa2KO6OzQ=^e^>K@WrWX>2j@O31L9wVR`6--ZAEB#8zFMLvP<)85E7{y z1WtOVZv{wSG911MR}tgQjeqvt1z@C zPqZN6Dk@zoIxxQ4bfyRM^q`u#+GOd}jinLSyF4>LX^I;N?Sb`dMGN?X!B+>@GRR8s zJ}tw4Y(^%K`_lXU@L+4oG$COk?oe+%U#kM{HFpOBdDE=jSP znZj!vR;3`@mvf?xCmi^*c1;cne&Q{zY4y}d&8nA;+gAMFAo&5XkxLPR%vif{gC+d7 zrqi0>Y1~Q7xh$_bBt@7=aWzBw?Sp6?2NEyt6_7|8h(*l_^Mr{+In!VJneO?G@pIX% z*!+dV&Vx1?&cNts8p`b28g;*}$rYpg5OxhMvk zgCsTtVl;h6n#084=O?I~he46DOE|vKqL%)OC7s4!gd7Ck`FUF5xIEe#Yowf2s_68T zRVs%3GL!corX*v8nik~MCTS^Ib0KM|RM4h{#^t6;=;DiRK%j=kFb9~VNtGP?w+ZiH zsMsWPu!w9&2o-9uaO<_%`berMev+i^;Z(j8 zPS$I=CgZdo(ws>}FuK#I@GJ81kbHWw0)+-)TQ@(Z9@>Q`1x>1tX>{aQgf7mml$R8)=?) z3^KKHDAP&t4@_q+X%JS-fq|kmWCqOL0PO)bW)x)e7lZYZCqAVBQ*6eYgI&Rj4Y*80^*ZJC2-Ne3Tf10D^BX`%G2H}MFIqWf zi7^zdz|L4TQi)UaF;7_C1e`Mvz{FsJG9De&+Aca0$=h4ZQrhF&rkcnn{^Sr#Ep&&# zsgQN{BvDS4CdOJp<{B<^QpTvU7~6WM>wDx-k$RcjaEF;r0*BrUZ%Sq$Dw6`bDHCz$ zU{p=A8r-$WYcRAq*<-k(gseVvj=-F(G}_ZoOpR{2AaFJoX@bN@- zkRHsyPCrO}N+O5a)ymN`FJZRho0>{u!Mz%1M$UH7bzVCL8Dv4v_@55(-<|) zd{W&z!vU054~`it&>-E^+~0%;efZW`O}N%rgC9A}T%Wp(VS*zOIi>SRV|lct4(T?P z$U3+%tSnK3rr!B)ZX#%~i99xlqf66d|A-etXNx`(^gxpNI5d8uI5prvx}FN7I|*H- z){T=I9Re~kXXD-_oFa8+8Fo> zgugj~V*y;**rO1p7TG)%*j6wVl8IkTLC`gez!RR0G{8`hw6k+1#p|p^~d=8Y# z9|AZdp%>B9`1wf_ADQp~r@qpige($2PQ`6#hN|sG%NnaDj+8Dto<1Dp3wcW&YU@i9CRyoH>+-tdfPxdxF z-CN<5nu(x1h9jrFly`b*L~mmQMxf4FECy2F$Nmw`K8yt!5-PhX0tl_%PK*E!5Bilg zM>#f3vsYnX?pVC3dS@1F@Fi{2hL)74_B$t(Z1u8O`)k}OI?S`w40)PcuCpd?`ryZv z)&T6|LdP;UdpIX6>20i2(QFLSERA*EoVDgTFrBkJnuo>b(6C9-`x%!Sw|pB0y^8ho zDRtdHI(-H;2m~s3sgVuXGK~70I`*1|8{ct#U3HkS%^pY0a;(MNv5p=NC>|hIw2cAN zP1W3Rw|<@1NN=u()MI9je^{PpJ`=?bl>~M&+LN-me_7M1LgZm;S7RADlg}7=Ct2`NV=osi;Nkhc3jcGXPZ^c^UGkl3L@g}> zC75JR(3hVHZ!V+G5lR}51dkA%Uvp?NWXcn~wTQHVi3*H7h#{8TE%8D3amOURmtC zD$;G6vqeUm0`E1ky5fG)zS4~aS_QHDOe`PDg-=4ydN-FKbB5FY#fSi3T5L={=r@p& zM;*UdZ)Do^?=)uTkj`? zCwdFkw;6N6W%dm+s2)m#mU2|cs|bG2G2&crgQ3aG*LV)ANRsH=$(&MCIbkRE&dE+vF(HbyQGkUKk6ipVo|+KCNt_SvvU9fj;~C^lm@~=ghO?Zy)3zP3e;XCJ1D(mftcV`@cI9tTN&SEuY69^Ip0k&`F5jSb`%)Iqx`w0GVGn|uP2`-KY)(X9CVNw3v$g^yUVW3NC z7Ua1_<)khZ*jT)iz<69DF8N5D@d!*6O0+~1Ob7WDN%F%H?l&99 ziEn+@A9r8-D#eH5qW-8B7)lJ;QDc6I9P^7(?;N2{YOddptmDqO2^!Xi6}GM=dl$aoZ!8N4V*xA^&6*H|Z6tJ~&8_XgfFh zYXI%20!5KfYL1_RTLv{@G}|{Mt7uE{pNz@Q-d`uH4rUtXCs^>OY^N8PQ(T-c?apkz z7A*&&Eok?a>e8pu>3mKp@^zG(+@{HzdC#3`t&HK9>^Mlxir&@mI;ao9MGcLsL@pL= zavL@Sd*O{Sm;-;hF}~}F?on`Q*Y^jDDxhoWC?w{PTuLYSD{HAqN>v$S`HnQU5|lD# zR>{t~@?HG6S(GZ9=X7(i_RoK-eJ`&K?Eu6^4KS)ot$rgZbmU_%t`5lqoEBd;72FcN z{S~ZE^Z~Z(XK!a0;){*S&E0ywm~^U0FJ8Ur2LGXc=xTT8VG~J^yV%6&{pK@t9RO9^ zzMjPa>=THKwrZWg;W8HCL^D~_EMTEB96?4Stalm8dQO|iCw8u zU})B`s8ZliNT6HEm1FoU0QTDGb9*WV3_e!FU5qX?47=0RCibeBXnx*iCFl`IdYu_% zC)oI7@8cbDB`{)uF`{=VCy`Vko>ZAc(_P1<`b#s=@Jo>~a4{fIe%f#Vf1{Y-A=-p_ zgU@5(sCQN?fx_1f;;8&)3dKQ_VAI9nDtmn>NoIazvoEG!CC;dTelT-wdr*4 z`Cbmj+fG06{Ew$ki^{5Cj^O4yrhxlu!P1H#redRB=fV8;!JG>pOvprEY^FdWd6*hu zIijhw^3?31&`sSGi=@VQ1@Kf?2k-&BNt;=C9(4H_ynh0lIi*vWGi30#u~ks;_=k~4 z(JkZK_2TWi?^~FUNYNo3!YT0J#c^)7mJ(+bjqzI;$s2{Yc-PrZ$bai(M2pe%ws@E= z;XdLnZw%hje7`9zDhb}6k>AufF+%SQkFju`M`)dPML8Fv#b={uLvN{VEE$)4-~4>O z<3%vvq8?-IydMsD7u+(E({k7oD5b0qvG&)+C-v$-#BwSoSKzu5C}BJ>0{{0YEwVnO ze6BE30xRqcf5kYWL0~+>gzFUlS{c_HL-XRH#$?s5pk3}IUw0-_$4NVpUQQU7*eB&^ z;i9l{<|bXYNUv76Q(Wk7A4^L8{&!aE0)X@I4No(zm~(Nx{8bRtD2i^PQsD(Vlij58 zz$9!1Cv?OH9<7gs;UF+6o=bno=dFiUG&-BQDDqWM=V*h3*X0eLQ&)nks+fl?l|F=2 za}TplU;!`D%9;LT#s|1-UNP{*UY;T%yvCL5xPg3K>u#c}?Qu~bq8B22ue0ZUT3uC6 zIyxRV4c47lihAHDhTmC$g21>+IxrrO;gATeeN2=bCuJthuS;Zw(Gn(7dsL2tr?0A+ z3{69FSowgr{%l%VUu;37b8)1GuD&{SVzi_gT3DDQN-j8447u6_B*x6Yaf82(K`|Lx zgMb^{?}}YDZO7h)PbD|ixHQPx9D4*vH8Gx_#k7A!xkgOil&T&G2~l0iQ987gjzL@( z=&4Qa9lOTxIj{V^`G!d%PRR zvHc|Z>;dU9a~TPK8qqa0IyDz;+fRSn*(q`Py}>bY`Pff$E5fHX>`L9jQcm}kW}!^3maioSMJ_@fWJ1kT zm%~tW_(Y4+^mVaLedRnz!yWDPBnD?|zLn3)mwZBotR zi{_AZi?_kqSv>KZ#zUy974(mv*&DL$8OgLjRS93pJLpEIH>c$i$jlQ?+of6kF?S!c znl$(Ri=czdGs<;S{=BDzg_^Y9QgZWY_`WKRE8dX8df2~F3|eM{>yqq}O5G*FDq_p= z?<4%x%9guKCs&n+a$RM1r+*)K_O2bHZ;Lh~>9CqBm-RL`4CYO7I{H_!-iI`jDLJAd z7)IK)Ue4@OS-%!f^Y}}bcD}BBW6fnSEGYubF@omM6ecu;!M8xXj8w;kz={G#U!+# zb=7YUTjL8rUQo8r^Vw3T*2x`Wt!f?jZPq4!uc0LZN&d%-#E>spzFQ_ka@OChBau3+_>%>=C=OQ%1=Lh=UlF6`? zioWye9ChL)-F3mabyR#D9-VV-f(b`+tUa#wv1^?*gx20~x-8;xf7?B>xLn(GW%_o> zvcda}Z{V^0@I3lnaPvL0T4@U}sKl3Z!M>Nv`2{WOX_Cx6gah+H2Q%H&=g{ynvtsWa zW5BS=WpMNDbSK&d>U!P(e1Ec8<-+h{IWuHP@`7@8Y?4*0mN&cH_uPJSN86c&Wp|}4 z*`Cigx;_MHs>PjWVb8Jsh9}H(gMV`ksbR3lO%_<8iS{2%-+oMLJ!4HZW}vKZCS7=$ zw4k0YKB!I^Z0Mb|2!fR~9eCa*6O36xENQSl>}lb9#}nEh3ek}vO0}T{a2$&H((B)s zp~zC8Et^{Q33%DD{qcl{Y%A6t)JyjsZ(E}5tayoXcFm|U(L}_zkt}qc=6XQoZT*;# ziy2+2i_9q_TB)0>lR&N-&$ZgWjR)8}x65k!mruY# zmhf^qWMF>l?Pt7>{myQY=y~@m-^V@q{8_ALaH0i!spHp6Ds`v+I#hO`V0Y1HrS(8w zVf_g@8vCtlxZc5{<`+FM3T@z$b()#2+Ok#|j<-7)v(C}fRam)0u(`F)5sedZWg4F? zG_qgCK#yl&Ar)#SJ3<2cwqM%_@H}sX2d#V?N+HG-`fUm9&J4@;-4-@){Q_!hCzn3N zM;kqa^wHGS(GAtnfVE6iqD6kc-s0ucbv{n{xfWyDm6stt^yj$~{ujjZ)~Iq*7qXZW zt6=8MsoLDGU6^?->ic&i%{RVhorl(km;LvO4GzJzmuDrbr_0QqfM|@Is@m$Ur?Cas z#D>f0+a=%jdCLz-C9+gLi&Pt)Cw|jb)7w&6_=cM{6$2%o#|w~v#nc5NJI2!x;I|0X1a*-%?_kB zMb#O6quRX?d7@zHL%vD2?>d0$j=I$HvKjQwgnMpHYI}G>5#FGA+B2{}v^%^Q^BlZu z_H@nL*yD>d`YwsV~P`AcjB zLF?W6-w4|Cr`IQw!etWs7uPOEhZ%IYw->ZzP4H$Xn!vT0wV|eWnR~Rnt`De>OV))3 zoTK66Dhp6pDK@XCYf-CRsetMbtML5{d~e%rmuxCBmcKrt>rI(9XA7gpE(7f4q7iEo zs#RX4D>i`H%yOI0!6!q|`V%f?|Pi9XiQJxl`Z=Y;T zrSk3OL-DDrx31euF|WQPG}Z2YaA*6p=Z#=XY{(9ZSJAtMsEyP1wAJq080$A(x_hbP z0O$iN{0+bZb5Gj>#PsG5t=)sxAMjq)INPV^f|@;TVp}xtV^Nomr-?&ZQd~9#?%`{r zOWCJ)h)piM+`kKzu8UPfE>+%|kG+Vb4(A>?y&M>4bgnpCHK5qv9aePdUhvw^3e()^ z=##zYSZkfP<8+%=*dy1gLEJfhZLR9>Ev8ypX0mi>91e=9z2PVRIDOR_OSNAa71Ba_ z{e$!P`Cx6-QO%V;XmyO-|i z3STSvZCDmB8XRt+^|;+FY`Q6YlOSCcDyAj?h(eUMnVjtSbe{`c1sdHP$LB zy7W$Lkl)xH4JS@n27q519Yx!s7BW^mk9S%2Hw`fDrsMm{IO(B?n~r_-lE4=4K1g@c z)9jRijLM$(=lR`mC9&T0gV=jgR6{ZRFiwZ~xIA{#mUy6hgfbKKVurW1>)oP2Zbe*3 z99pod6X)}{*2EKbZVSu6C$Y@FSQlV`@-{*0GEyvV9rg9RrpZl=1P6Bb5q23|j>Y?MXO>u=DhfAEao9nU1+yK3KUUH|RLEsOq(eI6)>s;hE$lKPDm z!c=*0@Eb-xLTsnzog5m$wSL*V3v8T_3S#!y;MR@S2OjWoZWyA<8}mBllbMR|-?h*w z1lbB!WKeJKoN7b&;vV*}I09Y#Ue^>d{PLb(zvoC-yqXX<^%m2aP7T{HAYVz#AnME5 zn!}Ylc`q((4$*>ct#{&1o7(EuLk2C&r)jlhz0r+b&kB6iou@ZCV%N?lPclnIxbNu` zZgujvEYBBP;F=?sP`M#K-j?_}-X8C7jt`qZ5S38B6aAld@IPrgI5=2X{*%jt`9IP4 z|3fQ4iLyQrL5n*sA690zn8QVn=n;>3>f9RCy`6BBox0d4B|1OCp zA%P}UXz+G_`Egra{PB8v+|2iS>?_B2*9H7Oks-r$?o0mou|+|(==OYk{ZE7Pb-vZU zol0`J@5&~#oz_RIy=UuGl@^G0la`79cwJiKdpo#2Y|h>iojbsdtQ;xnJ+9_^dpd6> z*;&JQFD7`un#g#+G?M$6et)@~-o$AVbU&KoPxVYW*?NS-)N(t)Pqv~>d z9q@c2-KOvQK)!nu)YnpwX{jDw9-{aqG4;Lcv8ve2xJy&V@a+d0d7<4mp8Di>fC`rG zfCtOws7Lz>&h?0~?y9mQ+1>R=yZ6U85!T@(XwcbaUol!5DOz}13yy5?fI15)A+6Ii z^;`BG`7$Zdx!7t&3X+hokp969o0l?A`j$BZ7dFk%|e1D%ZMln4%) zgdjc3)sAVYT<0+JJtDA_Z1*cXsL2;Jh2?D(TGF%1`HPY0PiSqxzF2hr&~HJwT{L>w zE27hP;3vgrh<9Zw@l18PmxVvsIKnEFzgtNgZq!L-y=?NDwc~#_r z1l^-SmAeq&H3Za~=3Yq!0sv&nWMLx+fBeu(qPM=lK%og7Aq+4GNxO*mBh@ih=pzcA z5;#UM3Nx2IIEXGG2Ua(Pqq&|$qWxuMR1B<+JVoM^k;IfA!AQ9+E>%&+a##F03xtW&9zYKWM+90)fM-S> zp)AmA03~27bk$w*=>c=VfFb@+-3MZcL`$)La(59^|M7tHGwj~YL^NVq`hraw+sZq& zDI})U8Mzs>m1`W%@xPn$3}56es<>Wkguya?sQ7)+u|rRStz65O6a;l1`8^*k3dOG= z3M_Sop9{PJyoyyVDY!w%Xx(mDx`qmVQkg828KZ7KV zzE~<=IGv;X0^}`B@v2@2yq|WA4h#z4At}n;gaon~=1OGuxgpVue&v8lQk4*XF$h%% z4x&~vfY}w32O&jQ1~xV%x-wGr=~po|RwZHb)Nu+Wd3~_5e@NhC9=42oo>VN+UD`AO9vRIvAGCWPApaK=gBdP2EBmlp}J_ zR9uQ5=K3;+#xsWw#9;rlTloXV8-oYvm@@_-m53rCAqT9RPYe7fO~4=k7H1a;O;S=G zwC1S*j8tbRLu)#PjsvE=mZo8`n+Gc?qh$Lv`RLH^9 zy@Y0!F&exKd|J8%q=NEc3P1O`jO3FO1pJXgf#$Rdu1uiRJySqGS_6wvq0L%Ll%*Sb2YCj7XU_J!3`H>&PEz=!>A10*5l)>LyP*X{w#YaBjAeHvu$8c1E~nykt%^IpZIRHB9V&%|e-el9 zB|#DW6eUf%jA?X~UxW~}3hD9Qvdm$+WJ%C6vivf-QxJUC1_5K>U`0{$k!7KuYD*G? z`~EWhrb?Og=1L#WOxF_radDpiLB|pCL02(LU`fMf^qnrUPco zd9Uc3i|X?QbP`V+91&zcQCy7SS|rhW+YO+sbQ~^NtI>I(6&lg+H)qnwR6~#Ui%%&R zvf;H%OKJ!!ABeae8)F%ge^x>A$dDbn9iO)Nt<*Xc$g&r3oa1d!UAhd_sWJ4#oDIs{ z@InbRj0DA4xuT#MkxO9h$XZ^a(2SAA$KY9H<;kh8Mk!3!R+d^AS(YW6r&fwu%Qz4I z2K>lxDk@XZRLblQZb&x&nfN(Ee$z-1LzPrg#-8%q#wH&P26s^WR1P|m-!qkIFqLd} za#GK1Qf))**;I_7XC~pbUPzj6Jt->#+DHUh~BGWNmbJP7sXQTrHh|e+j5yvV~kTS|pmizAOZsK#c#kuHjYi6N9 z7fPt^euoYO(~+%g#DfST%4!*r6V}V|Oee8oAU1&e6?v~7*`G`1z=;7c;KM*Yiv?P3 z>@6Fs!A${`_xym}NN?p_>|gc}hRQk3%tw`=GWf8bW{!DmKlj0dNQ9LKEv08Hv(RSi z@%hboQZ^M81Ls5h1QC(emcJ&t2W{4DfT0jIp?dVyp#H9zF6%otnLm++WeH#$?g{Ae zwh!c)g9`cNOf|G=!zO-#81ey5MgONaOW*&0@n%*JQW~H9VirMT`O`Y7eF5=qn57n{ z>6s~-a;yfXa$qnA1=1x!ZJ?PlrFNx7Bv@dK)3bA!CU6Jif1>&u9rjFJ z3!)ZGY$9V94gsgT&j9|0Wr}a*Q}3`qYA#PN=>?k;REpHF zZiNXy+?kAL!lGJ%-fDP8{fzJ$zCkKvwp>G z@S~j2lMJ_3uc6JfzP|M9CQEObuu@K6nY0F-us#T;axVduJPbz00&@iJWierG5)s4% zI@i!X-sHU6M7Q*L5wL5V)|&qavsf9O8oaXK!CA7WBOcZ#El9&$1B>()9@_Q5sD@9U z;tzB?tZs!gWn@SiIY5B7You9ZUP$vvfe8H!KHXSij*0-Qdry)|DJ)O_QsM`Db?cv0!N{wnb+F&xReDzt_2Ap zHqJIpcuf5@wvm^cs$?)K!>8d)z&TTmX;V^@j1TLaSdq;^5Sk`s>;tBu7Abq}Hb>B$ zHh=K&0fpfL?rrc2&5CS}!KqO{>bKg;Y0&4E34Jhw-9uJW`PvC4@J#x-Fif28?V?;t zK!8uUMG%JkE!?VCe-X{H3+$hbGrBNIU|Zv_I`>XmBLH)ew95z6Dq}R!t~R-|>fVZA z6VcNoY@pD<)|3aNtB(pw6NgDse=<-0Wu}R3#Z~>F-wcBDqEN8JVAf!0+VvBv(8{AU z)|6865}FMS!=gZ!cEk!8E=vf`Dx?wMYvxcUV)e0cgb22FD3c+X4>QFP;0sn4Bn~U1 zu$zWTVydSVsntG8ZOAgum7Fch`QlE56nMb{6pP022f@g7tb+IT(WW>(Rwh8XW{Jo5fI8J2k5Ll#uK#V~yhy>PI0XqHTV!XmnG4vT)7-5=DiC>nLaYjB2ul@@(LuJkyl_A8kfZ5(!M$p*vH>PdNXXyl6u^e_ zQme0bCX;P)K40YLCqdIJswD$s_+B}!#ylW_4y#ulUZ%#45%b6m3p8}xz)1_~j;fBB z(G0>;69)hVsIQ!qv+_6b2e2QFA$34-m4Y_-jg0Ftg% zGPE6($S0ILmiyGKjI&r}L4eZ{K){T&2NP`$irI9psqdpX^rjXKURf$9k2f3g4Rtq2PLAjT5S5MA0IwcL0OM_Zzr$GxL{vSgEU5K5RzOgQPWiZ z1P$Mn9upH@YOnE>J z@b22&USZp_<4b0;C1TGO*$NbKG!quBDo!5r9xAu=WQ5zTR;P!KrXO6fEI78<-kj|- zJ)ztHF#8U2uZ!FbBJb80M?G1mT-g}ptjD$)5EI!Z;w?)|Z7){2x3;>u7w1RskNb=K zsrn@!tTG9jFll~!(;Rv&<$YXWz$R%F4#t7kUf)qKKKGNufxv9UZa~E$uSLbJ$yD7P zK9)zsjqnr8ihi|*9PQ)pYT|D}9LA^0_ir-X*Uy3cl^A-|)vBi6J`sF56a9?mY|7h? zFgVzs*t-W}B{p(^OQ!Ututois^k6O$P?@0+eJV2yqIi1> zexNez9|9_~wSgg{One}TEfxblOh?S$eL;VV$ltAeMakei5^U+)i?osOVkY$YDo@S@ zol+vLW2w!0!dfAC=F!Q>R_Kf3FrYCUu~V~gVq(EJF27sCxxe{GG{0nZ(W;V4aDyM} zr@vDb3*Y|utT`C;j+TEMLgk@`5`C(UF_)1T{gS@AP8j;Xim>ZA-v-2t0p~~#`+Sbt zvL3mz@AL3M@*8+$w0qiJVn;-V+ID}1!Ea&>uuoBsdAODH#&WzGd6i_94V(>* zO3&p#fBiStb~9XzSr37o@z83Iq_S^4yBXkL@IW1qgQqBnT`!IqI*23`YVX{Y(`$A`?FM&BB5xm|gSoLOfYsusQQ`CVazthle7Rw|^8e5; z2~`MFiQhY)^lOk~(v!I%298dLFwS&xU=~x3ia?XQoYI^oE8X`JhG=!4#5r*q`k(udPQ%yMxc{&p=uh@@{K4x z(h})}?4M4Qqu98rh6a3%{onnK<5cG@#%Pg!=v)&ut_f#m!^&x&@ff3}Pv|+wi)L12 zY;He2=;N1H2Ddhrbn3iejMFiYg7N#I9THj3W1?IbDRxx|(W?C-K~CJSr|ukxvS0t; zci&3-VXVXHW;pb3kVBa|sZMYxo8quIgsu33Q@39{=eo;NB3bCMaiG{}h!{6orZQZQ zC-(6e_$~4~yf`bV`@)Jo9)k?;km1{|Y3=}iMV|sNs($Ne^YCY#<)5Y~gy-r({3vW; zPK+TnTFTVoWJf*&X42xJx})MQx4?bF=N@wK#pjvo>=~eCvRC6viXvLHy%WiHGMjea z4tFLT@L9YA?qER%-U!7)A7I?d7)r{n>XnH}(5jb8NCMlLE|!e$d7I_!<@-JT_yzUH zxnm6w>Wyj~$%zl@_HCccVOokJ+_QTFgbpvGW9n1|#-v{_E0WW{k@q89VtADA+T_Nw zh?hIlhi$IT>YJ<8A)eZ%As7(^O~~cW z_`)K5B`w`k>Lw~4Q~VR<<~Qn!-=JqWkT7XFy14%0H2#xZ@bo6dM|F6g(4TQ4@q9yh z$O;rpi%KdZDqLUhHVBHa_xuEBgiHp5+Djm+y7FuD7*$Mzoj273NSZsnAR-DAHT9^m z7O3Yo=fx!>jCDvBvq8BU#7V@pYc)uotFzTefVFB$gYq3u$S_uobVKF&iftUiNt(|s z)brCHVgFZuWJ)zi4op+_gtX>|aGb2k-MI*~q0m4ZieU=Wa*X}YS3_Kbq1?iJ?`i9P zQOA((txNx{RdRE)3rYznPu2OJNH&2*{K(jt{_iE+M0XLf7ai0R()Jie;};ahIKLGH zGyovYm!{a>IQjzP_G#i4*d-va;X{lT@Dr`xSOyH^8aYPejM+85-)WKu6RF?d)fkmW zbKob?c*a(&O4MbD)Wty3n0xB7h2rN4BqQdK*DJf-8n5d#i%(FzmU~ zj`31-)Bd4E6foHMhT?NP@{*&>3XG@{#IbwGLPe2+nX;b1u0)e=8!x>??H7wg@p1(-_CWUU8(Uf1cOx0#2!qPygJUCiy(*+zxCR?w=l~Bm74tnSH9H z`~R+zN`JldeK_lpj1lFK{S3zEW72XD>rDZ?AI)%};CStt@wQ!+0Hf|KiCQp<}?JZ=(o?nGP{pZ1C-L(VL>>ZYxNOyVf5mZC zQw5#9Vs|EgQ&M8$X^LyQpum>mgmhCqU}}$WT9Raac<_+}QXu#7N;c9ihkCmjqpJYz zHkDP6{R?Wgvw)Muwd18;Ci4T=X3HWf<_q}QgV-rI62OY%x;yEE4#aV>_lZ1HTal5E z9qEIY1@uI*APrJl9G7i2UwB*_Z{vnwM&Qtc8*syY&ubs=69SthY3Qxqz+U?(+dl$< z>?b^kCtBi%F7;o?1t*Uu4O62-3f?Y&*+K2iM++8jgO&Qim>3 zVXmnje=t$2uWP%uedvStX@kG)bb7n!nUpCNEdhvBdR7OoHl_#e3)n`keFOdWDAAp& zOWzGv`8b%Y;Il{g+IUcv&VMDfZL_?SsFWUzo-$#>@41g%AZH;sUM`w!9ZEN`kUhr> zU%gjameLSNljztoUNWQqpnkXWen1#lwIMgQ=|BI2v5$5rc7%6^eLo;I!k=kq){~Fw zLd@&UsoN=b(XuLz2!4O1QA;CSv5$1lnar;H+c4e!dp0$J>BIw3wDs$54>6NE!lTN% zyX0%KaaT_r@EqZNb&^s@}m*!laijX}p$`xBZk;o?QI) zZLg-w#$?x)rKtTc-0n}W0du$=_4z?}+F5nQ7i@+4$w|tQk+>BO`GoA*b${r`9h2 zJW8ACh#l2E=C<+vH&=k2WSNfHpK`6sFzcakB}+u$)r_#?%qt6UmA?E0SRdUjJamT0 zq*)FT?q$RKuKONnE^U78*WEMt>04X?Nr!^WY@zw~(gqG$rB!H;sD-L0qCQ5@!k4ZE6UpL{!fP=x5`|)CICo=l3jU$+#}(n6AE% z)4NcqmgBeMF2U#XpHCwH;KuO`-ZeKkweh>!)*IiyovAm_@ztah#4=YAO)%?Cf;COh7SbUv3- z9@vBp&DuOO`Hspu>u8gxboY9h-Thj_&3m<4R=s_cmI+Glm{V<6wfi13`P~>+28qk^v-h|c3F|2@ar(KAV zv-eW=lHAmaN3~|phY#YpkIS=N?&XJ1(Xy&FcAH^gjQ|-f%-l%6<<)sY*72dug=w;v zqi_5lHjt0h+ol6`J<`)c*MZh!bylAIs!j_~Dlcl9a+7K!M%(-cj8#q>&RGOPSesI zii}pe6mQ6auRC&yA*6-R=MmDZ)p(>5HEM@^c@xuWKP7*h^ojdUs>jU(jZE~5=K3n9 z@{gj&ry;jC6Kqr_9+I2v(j!Z&f4Qnqp8_+yu)0oOP9Movg!%NV$MXimB=S*XWX^M+ zc7p#NHPZO_w0XgFWRP_w+gZ=*ZWE0*}fVQ!Nv6MQXd&=~u3ePmLxkX2Lf5fBD z*)ZlTLw~z)54{elBMIN0jw>s|=f|&Q0uL{a$i>+ZQ|IiMD&imQ@o6q|H2jTB%bnc2 z{M-~cu*FANpXTldko()Hs5_lGO1+vp`YtkFcpCbD@jZHt60h+H+4V`(n6AdwT+{GF z)U5_T-yuA7tv(JRRmcRY=ZiFovX2(%z2R`OcyYd`yTc19EoYhGFe zt#{T4Uu&GcNNGFFxN>4Dfkc^7@8&r3N6z&?btPof#n{bpZ&}P#rh4jUw#gM6ch~K~ z4$;9+YT~UYn(Q+_y(4E6@_bK}8W%z=3tQYaPaMzgO!_?TqFYvfMC|7alNw(?$ zXFQX1bFmTJQcR_$#{1D{pNBJ{cB3>o*8>HLv$99&zMGL44#~rzD4@9Mo-f)}HsoTK z&sKme>kE1N$&0lO8^#|C&ZpM7#X1=jc>d(Oy0HO6`F#xXOrQVe-pD#HI;*JwbS$m$ zq9(2Hmb%fiz|YxzY#Lkb*v3Ozx0ak=yF?6Z6DvEakKIhI#OI3l~pcns;?yx6Kq^a@g4Z&vn*od4XG6a58ip@jhEBNx5L#tN_O zjTl?~{oLf#EgD4e*LCTZPTrKlxP?mY@$vb4-+aFQ>iW37@&-u_g?0G< z;n)1b9(iY!aB?s+{};c8^?fgg|Du`vU)1Je{dXIS|IM%Yw_4Z#;@AA|s#pL2<=6c0 z?Kl35U-Mq5?Ei*e<0Vl|#}l^()aI(U>0Zi!?Pl7zFI)D-fx%bt#R}T<`{&v+R@GAE9`kG8^ z!aUsY=6Un>O4r|yFYtQzcN>t}9Ta$d7`%I{N9_)JFs2IZlNH)}4+i$O@J}(a*%9n!_&j9r$gm`cJ&1*5*XZ`hFK;U)8 z$HwQmm}6dA#GB?=S)3ckK&q6O9eYLK^NR0{z}v&=Ip%0g7!m3B_BY$%eHd^)MdTz> ziCz0LOL4{3>y9-Mo;XOIF-74oPPQ-W0}H4ae@eO<^|-oHhJ2%F;hAJq->@c^?y`T7 z57$?S-Rjv5tL{Cf zQRG-EC)X5~6@g6P^kuDQ%!b%YrLiJL3cjDV7={7TGlJRo8ZfRf2l~<5Pxz^hVD-i1 zc{o_)&wet#4XBz5$pLR9+fdb*6gh`v!0zL9;tI*uv*E3O%03KR%*tbe|IUgF)uj_H z#f@dKDJ-c@3UraA2?s5N#FNB#P#?wX(Ht2XX)s%ef_I6^m9IgwCOHDVqptg|L)Ph#P! z2S08I3uaPK^H^d*(F^9x$Qx4F?m)+2lw2LfU~^uzwm~@97i3PI%|AQ}`s=8iiGi+Z zCHx~BPi&U(%2z+HNID^vY}&x--zA9LOyBy;fH|_1BV@!;Y=V$Q;msb!G4%B-9s&;P z%DQPx%DRC@bdbtONjJF^jbwkQqqfS%4u*<;PC_1>qZcPUiy*D7y|;>AyhE%pmyREL z_sI(&YFP!L)ClfAi(k?J1zwq>YmRqx8UCd`B?%XwWA#ZyceVIR8Ln@hg0K zEkh8gx-(7ApqYCO(h?@?tcJg~b^QxC_^5oXu5hNYFfyEarUl$d%(zr3c+9-51udEo zfwF{s!8h%7Trwqa3?wB#wD!bOP`aO6A`~8E7X<#`Etv$tWjuWfLZmd9?+F+Dv1kl#*%jzkc2Pes)jGm>Yl0XtC8kpuBBVx> z+G#(h(+wXb(0+yjVafKoSN;jFglyoT6OA(cZ-ajbbCTNJ4cnWx?rHIdFN4#+&&R`kV(bAuMI?2Cvs0IzJ z!#8NrY4Xx7(-cxe=nzXlL=uK$*Q>Issj@C4zMlv&n((?kgs6clQ1sNJJ{}8DB)A(R zL9zk?A}LqdkprIIDINhrwHP0Qr{G%A0<3^7Bh5CThn#EDM&(K0R?a`O$_uLD3SYvv zR`JR8nL*-llE`>7MUO=gtd1l9?hswzpZS2J6MdrP#iMes{ErpUc_nssg(c=<6dLT7 zqUirjULjVZ0Tee@r5SB%ASBy+IQkL?_JNKrO_Cs%T9n|shH8zZ0>r_EJ2PH-L+tCv{ZcmgdJ zTT)$FNv%Z0wQu=r97X-(&%EFcjL9T?;isQ2Am+rk z7Qt<0ERD8WGRWbN8!ZqYc>Jm?MYRHidU*;lMRSe;NgCm)goW^;U*XO`Pz5u}PRye| zJ`?JbX@dJw#tuI&zOAgT?k~0wRKIhFjs&DHbF<%wb_8ey3Iz`HE7h#Xq{|n}n(OwC zm7*J7qB(;}+X!*(Bq~?BBspKpuo_+&G{0QXC^wog0a2B|&fiQ651SY2lOL1*J@a8{ zw?1B+91%StCLHwimgJDTopB9Rl=;2B#D=dt$q(5MMg?RWSg9D)Drz`&Y-%)ysvI_3 zWU&fn^65PIHD-E!awv)05i7nP0l^X=LP{c*Ocq^#D5SBy)<_oPY+gtwg zMXlyQ>l+lkgfu~A4n5>H_Ar!RRtK=;_GIA3oYKR^=HgJDQ*47`;pO614SNmpiGL2% zx+~F-yX)(~LT~%a)p7=rf?+pv!81zT>VZd=grpmj)Myjb+?dw(zx z*7d9`BZW;GgNkvq4Cu%RCt+zPZyRmx8Igsa!s2g%QnH;YP!aguFWf=jsjO`Rp0gMW zg_k0Omf2Gl_p8xPz#Qod*f12>KPmirK8RFVVz|5qt;R~nw;-DMWLLTUZ|Hoc=Do#? z4vz?ARD?Q(G1akd0;2L#TwbzMb)1m0gwReSZJr&vC3#q^aZl^Q5ER%@R(y?a{d^&_ zaH(uGp0Dw-t}iuKnytP|YE3YwJ#=gLo=GYH<`FMbjMQnwqxE=_of8q5k_v{ty}urK zroN>Ed03Ef&)V>RshXkug|1<32+5`nnI!EBpQmgj)N{uC%}LDJAauYwaUe1icLdtm zpzO0DRnd~k$uBg>HY$+_xXYb+$Jhm;ow>wKT3zNG63u@00XH`M9guEEn=3 zlyOgr>5l(@Qf>}pq?DoIfaYzx>jjd9p#@sHr-WNJ^IR3vmlm$YmbKN*CQ=g-g77Gs z?eh-nB=VsiN|G-ve4*z0D=~?|$fDyxXv)6vp*Hnw44MzXI`(9lWzjDk=$R0U+E&g4 zEKgFP&G|}OVw`|rmRPpHB0FdP@1Mh11Pi=E2={`#MJjs?{ehyOEuNHsC;XG&7ddSi z1uwib;N2Vc>f1te?%LG(k+$%a%vHcPRs~z)5FhD1m;(?E5{eiTYNu-gDSx6tAc$kk zTeJI*?L(lCWD?0t>f>Q(ZBF|J7vWc>IN%NY4X~FC`-8!nWr;I2Xfl5dRV5+eOeE*D zF%2ESHX}cj4X(?X!%qbRTXMjdx9s&t`|}kW${lG3R%$W~nvIp?SuvBhCSc9W|p;tTu0wah=SOb+&`*?`i2B zY)dPx3yTi>i;vQ-0g7sKf&oSI;?keVxF%h93Woioud8m2$Z=a+tXNV4e0P*F^f!#) zr(Ac8$S?hj1uu5~%XRCq3;3bUkK5}=YCbA6c3_Gn)0q{tuK34&G&^sAt`GXGhlaFL zYJ~!kmMey#pJ9r)$Br!IV#%z5b})60k|al8@wp^7_UKHLe%P<7 zToY-P0byV*rJNjmyp(+0?oGl`ch2CzQDV5jk{LY4i>T4HiE)6*u1>!=KB|=pJSjRL zLtS2wY;Kh=j2xD`(mih0G=iM-I19>e?VC7;QQi&taIlJ8T*Uj%S+Fm=86J?~S<=FmD#X4ptKg4; zv59?w0^ONJnuyQu6w!Jk1*)NHAtjM=!Lq6^D-oa}(2Mmp`>B?y$~V%LjIeL{it8s{ zSSLyXxl9H39b#H##9oO<1P8qA*v5P)0k&KSM?p`@O>;8hsG+w7>5!O*3XM0TgDD&aiZdjSgg+ogDP75Y}oQ^R=AI^)MDE z8Xq>|Bq1VW`HoYLEhf14ggoX!QGAvV?ssv4w`n@ZMe~RY!3E!hlaPGidzIPT2DZ|e9Na(>}{UiiK7mRaq_F^}Mbx^lV9Njqm z$|-N?lL2-BGrC9&tI;4pWT|-?&9PQjQE)#9prn~aVk!-X;9?Z$dA6^50izja4^n7_ zWsR=T$VoYNX7)7(u03aKDmXgZaCbY%-{BxBR>Rj+sK6l9t`}8`Q)KhDEz}5mK@zHy zZAVZdK*@lvaMX_Vi$%envde=UTftN$AMiZohF-eGRjvIDy6FSi_VQKWLholnS<^T? zwKKUOrPdm^9G;gmd03XQAm+nR0b~jv1$sx3OsHR2O)LK=2)o)$X;(nWdm33IPS*At z0JB?b4W6_cfi@U%t55eu19A}wN`@H{8nJ}Gu|`kXi`~DlQYIbkD_adBvlv^8pde*C zm;xSR-yF=^31!Z$9vM6n>Gi8?8UOLirLvB(hOL>wJEoYB zP_NebFr9+#Y&l?y!SQ`EFquR$i1Op&>eDtbo<-ivdA5v(9PON|OA`)ow~sv}Fh69_ zqO3Q>r!A<4{mF32;!*y&bWP*_j=X<0jKAh@)D*l0t&9Wddw5XLF2j7V{Sts9zlsm$ zw)N2FB-T^{-m(SFI~ET@Y}SZO06yA%-Xthf#arcVScU>65|qYCM*YDQ!u*F{&Tr<)bXPJ$P@_yVNz?^e0y;>(EZE3E4 zHC|a0vZO6G=|KuFA+BqE+mC>OUGL#HpUb&`Shi5r--l*pujn5Cq7GcU1#}~(U?l%N zQu5`3_S-*K-q>IS%FIwP9VrEN-DKj6Xrb6%=;3=qqaY%``u|lW@m^qB-(XyHhR#wy z_?+wjo~MwH#cSRGb>kE{O75VJj!g+Dx1v)}d#r za@|6#kI!KFdI3{(wTd&a0+@;<*V{_L>Zrn&kC^AZM?68WHBluu#c%>3ilo1!&eY`3 z#nd_7^OlmOU=9fOSv|iJn|dJ+R`HFp7z3xXKRtte&B`r^Wzp=ypyTzm{+Qp7Aka;! z`XD#F%_;{|ueYT75NrPsb3rx%-XyqKV|@~PQpOF(m^05__UX9C3t!rrm%<4ex3Ka8 z?9u7leWNHdv43Av2dSB2t%BelW|_<9)&n?-br7*ZNK-Fr5N3&1{KuJ* zrd~wXw7CAg__Rz*#!&xVjXcrd?zmC$u-{Dn7lc;vtyt8+xu~Qke+CN?YSb$ma+~*r zFfq0Dqq&O5h7HF?X!Hp6X6wIUb#Z| zBW;x(jmfQH?>FS4`x4d=Z_^%hzqqAtg1WwidVn$=D3Z;MVjkb(=-bE})?&Z9mYM;N z0EjDq=ONbg!m_ppmCP*WuN-?a#tKe=C_U2%T(99hhU(r2B9Pt!$u*c*8}?|suO{i9 zXvHJurYI>g%UfyJ@s>*#Z9={ze5B+suP;Tl;2vxVropSyeotng$~t_cqPQ#A>heXe zp`6A%prbcNKFnAbbW774p`yc`Z#^oxxq~q=T*ts*JH=bI@2gF;MA) ziyTpM1(RFO&0EREm=DGw>Ta-E3&fGf6Ldu{Z$v&)8j;{pcH|*nce><}!z@#9I%p&| zRLcI;4os)GgqypeYUA(pf}RG8QdrcS=2k*{Uf=!-kfp!b3Zr~YV8SVM8ZgM zgEubbl|hCpAk5h#l*yHr^?;ZW=npYJ8feub)pk=y183$nDe4Le(CvggP}Xg=U{J4O zP_ILmP^m~=m3G+^A%uTZ#=G0b;Jsfe#70{);1JV@Y_f`rh??VKIawZ#*=u3N;tCF| z=m_=O%w9m8b>zcN8-v%rX6_TVm69IQc~3m&q?yLg+K=?@zTUqTNDGU(EKuyA_x^Ma z5n!y~;hZ8k#=>x{dO2nNHe5JW%eh!QEPkKv69lsuEC^;vEx?^$Xny+~owwd(nj(l& zG~J>5JtiFWF?phrYx(^~EWz|o_|#M(*?%vbg7oRjFBGC~{<0HGcqEo+;e@gt@6IY8 ze2ZgH8FCe-pWB~yP1~&@WrT|uc8SdR4 zNg!_G$kQbx-236akG2j10TX!4M{n*E+%=?fcJH&ixS!Wm4;DNwus$JE7S?bA?3uuT z*+yYnVxtC}Nc#_Mu^96aPwLyOn^{aFG&A5h#2XJlFsOKy-4k#kO7@VnWaJA^;)mc9 zU3hNka+`~E@D`u{c`f1Ms%8^)VpOV-|jC(JzRCNqU7DdBXCgnJ6(?k^m?18k4jRS58V;UzWUuR}JSU zXu_CYsKCs#lhVWVFr<4~p;D*0$7Qd3Z%wcgm-76s_jn4gO8Zg;`)`|rwa}@S*5N&s z`Y?WqiPD7cZ#JH}$>6Uqdp#UYGuc_+sI>YH1Y>_LPiVxCVyrF6Ht7=5-rmEnUaj%n zW@j@iD{hk!(L=jsy%4E!MPJBbz`<;p*+eYPEmhtQr}e+t*K4t~sl@(KGi|Xd*0TdVAG4p5Wt|Y^(aAil%WUGA*=2m#HEGT7e@*Bg9&YlekdW*{{-TA6 zPynX3*HYVbDAC1y@gn`!&c%So-=|PjZT;)ZAD5=78Iu+>GLq`0(Ea9ftNh}z?^9wR z-Foy1oAo6zx%Ab%DBpGyvaFTP`lu|9W>GRy;H2@^dzsSleK(O(g?O}B7rS2OXqwIh zUSIvQvln!^|Dd=qh~W3$c#M!mN8Y@k!0EKFgXc!v^N=`Rdcy`Xtet~R?miKswYqje z3MQYqfW*faj*}0xD;^W^UycZcs7k3BeaRVL(uf5sNQG{6z=mBmSAvbAP>?N2MA)Ik ztwPZ>h)8Omk7`AH3);s+hAw-p^Yn{w2TUFDMG;5ygl~a=9wRz|dcA2kld&F;oRyqq zhKx_sZb--^b-U)ot9`(9+_pTDOgrQW?N26HdXwJQt1<4|ySylq@1Dt2u8(A9RYGZIX@8WnWnrxf>dLpX4tFwt zIgRsP@%;NB@OC@+9dPtk-^b&Zw5{zJ5eKuiN!gq-0e@bU1Da^drr#%a$Fsd`#MK?U z8CRSwx$*enF;O;o=)HT;q~^M4y<+gB<_Q-P(pDp2isv8KE;9=Pu!b2f%6S839afkgFBZbUwTl;SJd>v-^$Ge@Q#bPfN;ZtN)O$N zo9P_J!j-v!RGy%3z2PLzL(uM0;Uu!d=PB+%%pvkyeX zJ>>;_!>dTM+T6jQb1tnAKSL-FAX(1N7RG-Oz+k23p0u>^(PD^iFVRg)$JBPpX6I9#7|{9HIkM9U)q?_H9KgF zQ?o6EoylH#zl|Y6l^ijgDv(e!f=HJif{1x8M67fPC;>;AWEz|n?XalJCJ*9rrbB=A z(vcB#v%v`3{;cm7pPc)C=o*5Fg_FH7n9PTkx1}jejh1^G&{Y5INmX1FKlU~t0f4N^QMnZ@I3vB3LTl1XZ94T0w%Wg=%L4Ok68 z3V*QIzSkxiWIRdkyY){R6yQ>3D^^h1!B`rrA(V0`p@mC2g$HyQn|;sJTnYQtT1 zi<->UmK1=Me*Nmq()@+E0Bnhbj9Pjqv^?G47Q8tPN?E z!QkLp6EpJh;3rG8TY?+=jIsA4dl{{ReDKJ}9H8y5VrrjWm&#sVrWvg<1E4~2@2Z4O z(7`xsg!)>=ViOTMjp7O+{X>cSFG;y82%Xs@Q8PRg{LiY zdaW`rV$Lb1SJhM;Cp1^6xZwzsFf9N)lB0acKwM74>fKjK`y0mBm61LN105d|f*fGo zsrTY%5exP)kL8qW`+c+OQ{Ke{-^ElS>EHD~vPQg%CAI%6#;Eu|V*hIUj~HKg2G68$ zt>V`XFn8J7S1>Fsqyw&qFkrwRl%OyUKAjkq*(tp{wz)o`mPs^0#l%3Sd*wKB9YoU2Thim8I}O9ol_@ zI!(qI(nfIoq!Jm&AV?Y>vN6jJH=lEXbbbe#m$PK10~tjV){_^oD1(%~r$#(c9pUFA%VhD-J@1 zMW6DTP+=MCC^m3nk;V{Lxb3*N4shVwQW8=A!B&lsO2jN=%WDuw5*&m^ZAW?&iXI@Z zUrZ7KeG$fM(km#bJqDk`;J(qbOm7tf;jn7T+RgZl^*SmFWYydFVQ zITzY~B=XU8F@4RXH4r~FD z$7)cVc{M0c7WgfBTuVh@C6cKU>mp=dyTY>NZDm_aCxjkqtGTJd4p7&p0>&ZVv0F&| zMc9_Q!Tl3b&kR-Xq8_;N#K2+lAR4cmoAeQ!JK6$z#@Y0vQ1Sjej%Tdvs*}Ygx4y}? zlbxi5rjxsD`E2BXW~CzH0#ygiZwu%d_UW=22hCekLXC1@0di0B6wy@LS_Eo>^A)Pp z{;X4dPvYG-3ZogSOfMvr+iucAFrc+V3>qoc3G$a9^&{oGowXm>D*xGCA6 zTOGM1-Q}*>iHUn#*nOQ0@#Syfl+Lpvun%CTvhv5rABTZbXzJk zV;(9Wkttams7$5tW%eWE%Nk2Z8B45$M6bQXkYZf>t*EmZ?UBu( z6=;2B6%};BBy_=Qg9-8RKZ&eE$9Uoh4xkR1u`LFJg(0JV8igcJ`WiZdNJ3NnMegAW zOb0=gAJPo-GkrwTlNZcr{}+;P0*M!GIv=`1v_IHuw3%G#{(&|Ne7s~0oh{C{$bCr7 zw|IflIncr~9fp-MJ=ie4Uuek6Aby3`%)0B4s`U>3kqs04B-thVI=961Pa@ewBHTqW zJ?M=0V6_is=%2g%;_icI?w0;HOBZdgsdQ!U1WD&eO~mIIiO`Jd=t9FUHxpD|Z?oel zF2_aqF^{a6M}l9STa07h(bgs)4Uw~%ISnzeCRR`!A1|=RTu3~P(!Y#wQd%Hd!T^1E z7|SaXMV&jGIj08$3Ij$2Vl(6SJK`}EK)^_n1@DJa4N?LzYjBC-JdKt(B9zFuULI)E z?WJH4#UeJ%*hex@y7GLK1&0T)9Oa!7C;?j*JXV?$TEwk~2EabRfz9JFr7XBYSKvU5 zH$2JF*yP1@I%%L6iO@9cr$9a`EVW5kbGUzj4=H*mTA5!=2{;-}bP)XrSs;IC%mc~4 z(=oJ;j^Xna&JteeSX1Z}+`Fzp8;)XkblRRYFbZGjs)$!;5si#|qHA5pPciZn*C--E zKw&lRr2f))tR4d%y-E&v<<$|Jm|(9Wv?TK|b1P==h;sPiuMd7T6rNvVQ5M1 z;WScwou3f!tF-c4BU7|!g+T}+EV&R0y7GSFuqB{^^w-uf1d$FRd|`J!-@3~!91z_Q z_a$Nu)=mX2UpZeg32hZyAOt#wA6zbQ=LBE9S>BD_NP&p-MlkRfEV|Hys5mbU>5WU^ zuXXfZO(7H&DoReYLqe}aFz=ixgCTb=8o$R{hG946229)aG|q0RS<6 ze6%M*i3;`N#D0UsfX^T~%}6mM>c68ZVT_-Pu;Txy0iwa!Wc~892?j9*++39~4Rz`g z_BGG|wwa276P5WFto;wP0br22T%1+xj0Ffaf`Vr}27=F&naCiggmXswpUnG>Id~5X zGNSfh$cWLwDKI%=K#JPm`3d8TiQYb5g^_0J|Qu$%@DC>_pW>o{EsitW#9<1#!1#O|a1m~+4#wsZT5 zc?gQjSwr{u{jAR;hvj>;z@ z1TW~yC*pEgJRb}54Fuj-sWgYi$%{HR4$rhw|5{&b%i`0w)?tHlF8f-@Ott;VmPNlT zx;t;Hv-ZWy>UA1t;DS5qk%V{th7$r~3>WMFaid(@H~h2Spt#FBe=+2Jg!_jji(%}KWF?ESS+vfa@?ZPC z-^W*AgURzzE4d+^X*mX(`{%S`*pR?HudZ;q@y7liVHwQe47E#vC^KiJ7&jSTA#R{-+<)U9p?BO<`i^_JB5n^6_upY&wyI&K zUzSouh*RDF8I~pd1Izx$JlX#-F9tC0`+v-PamD|C>+AbJ=}YL}aK+#K(LfDTJSYAS zYLwTh!<|;bCiou|DjY$|Cse+X0B3!zq1CSNyR^J0opsIiT;ee#fcTFq4pM(~1W{dB}(x@;vd zTkEx-g{89YaUPbV`=bF@$|QMC9m1|S4YUN5YH?}#+3gz9CWE-F93es~CdezSNkvRg zh>(_ndoRdL2Vh}?7iBcMCRxDEaac>$i9PB7hoG4+o~>Bq{;PjzHko0-^otCbRYjz6 zm{{UjT>h~H zchVtz&Pue_>8fX%IhU(H=ZWH9h4B9>qzaz#>Rnzt-l^q5|)<|M*%sbJCjy3WrK)gk9H)0vF= z64#K*Nmn>kthyg}wysbeO(w#J$uv$r=p8_&i}dyP?3k^Ld%2V&n>JnGv6{H9a<%D^ z5+Q!KjGZK3t7BBk)h^L(A$Csb!i;N|4fw^x&!oTD`X!t#{T+${7AQZTMZSK8Ish)4FZHabwRSV+e~X{A2OnEn^7~p(xff2kw2O<9#)?kewy~V; z2=|{2IM%v5d!1}LEGDW9r4HU~ma}|1C!E?FBUXX$h*RBfn=&RN>*^Nw(wn_DxUoIKVQ*ESW7E|w-h`3#f2oS?gq`h>TZqsfsOj~P3ddC|A z+?Jq$w+%z%vkM`;Q)4eD(_6MhgcYcnJTp;gBI8iUvzrHd-p&yLndUghv-{(Y`O&7P zM^DC=@2%x38Rn=@#-`(rKw-x5+56LNK!ep#2G$T8S z&qKCQJ94VH#82kQLPW^zD{wt2;|YT)qIjqz)_vWMXS%QI7LfIxLT@YHEI$@ z`z%0WLI5?9in<$wHB?R7&P_;hacUfJD*qQl2!5&pN(_09P5G;CAO7zVD%8*=xu)M} zi^L(MyP1s3_`L|^5X@KzjtV?0g=uGo1194;6xJSKCU#qSJT0EsEa~T#4|ixJS`!0u z_o5^}<*y8yR*zOZmv>Wb_Ym&}iqha);C({^DZFh~N zyOjdBduDMcI>9)Rj8dKKo$b|y0-xH5>*YAgj!{0rReiqIuyV`$~CCWPN&v-%tw*Cq{L&En9a6_w1K74Y(?ZBQF zIA?CTVgOGmnyCL8@GI3B&G!x8wDp^uT@fLD<~R|uf$6d^*sEp6p_r81ctgbJjHP_R z+yS_MIxk&b8{Qs#-ye7XLv)vJI)NI5uRqWfLjAJz^D%&rA;>&>Mb7s3O9;TxXC4f; zYWMTW7pF_ET=7I2_KH=ldZ?R?T1e*zZ?NxA>9_W1u{(eDa566?INw>Po*qe5e0+}@ zfZ*C!eZy(Ua&|g<@zb3LOTQHY7H;3Px5QU1d26Rft#BRG3?0xT~ zTAvW*V(}f*eAn7BVzq_uJblhRc{Gk=t+Yd-Akn4A>h)&ZOX8?zd@Y{G(Yg_ru@tEV|(cwSdt!%-D;e`zilX zaYMF?rTX73RoIv0V-za(iJ~Z1)O3M?y@jv+2G?mLivJ$KZd*C*w`+}jyB=J89{3z% zb*Z9MS`Ahcv{CH+f zKi0M4+MkTu#BcLA-{!WXQz}i{kuT=B=Ar}p)w<3{y0n#okpKA$cIO&_$%@$G<;7-p z&4tD~EPP06eYx}SYhTly!OWdkcGh`=4u7L-WNo%5Fa4`bMD}fP}-2swIPX+P5q)Chs!TO7-mTf(}J*E}Kp#KxC1D&0yERF;=Ld3{@`bq`U_?Fk<@ z!=L)LqU#cjNS5~UIy{C z$0&MtzIvhG6rQBoEY3X5W||8uSgzV<>Hwdt4>~#9jji9VTH9svwa;Jr>b}`k)dw7l zRWpXJyrNj0*VubM@q{-y?DlyD1Oh#-RtQuU__W!)yh7x)O;H&Oc+cf~B9C!bbW8=* z*4BNTZ8&F8)};T=@Nj+@_jI|mA2_k2vQ)C^Sh@^HNY8)VgKE17BB)iL-(xpi9i*dW zG#AVGIpK6SL$&GyrIuk1J5kea(H&fnq4L# zZ|hYYMPr+eDQtOd^Bv@r)7{0d5h?7eb4MT$fv+xnJKYpaOtvCkJLdLSjOb*u9q7;C z(|&sCrVh;1<#Skv>0>xc@Xn_WB-9CaT5)o=ExsWTB|X`)?|jNbbGG}>QQRbOr0tY0 zAoRko0LNkdW6lZn-nB~svzO5}y}m^@^xH7O`Rp1sLQhDP-s$}*8Wgc`dd~OuXpem0 zZPfZB^3ytsAebrbimt1dK3p%6=jJ#X)IrRVhaTH4_SjJ7agoPi%-%NY-G#_7Pe1XA zf%5a}LjYg9`<3h^Y@0gzPsUe$)aZhCrRN@o`ETbD0*ig|)aRH!H4&@XJUkVIyuSYk zE(Ucn+p>=@-<5K;J)HR!eRn@!sU-C~WE6eu>lOI6d3?M)9^CM7fi65QwdyM6^IC*p zEnRvz8g<)%zadtG>$y7oM8zS+U(_-ig^H?oMRXPA&YT^{(bDs7U+WG(-qBC4yM(!;sB$n3NnH8uvMqPEno=5ejH$*!Tzn6J z7v^iMg~MZO0Mt~$zJ?wvrzf}nD^C^^u2a0z`uDc!SSsR#dpKqBJIVS@apx~58~VAr z)HC)fAEWNb^_J@*dAo1Nq|a!symPJNRz-T762T?yT=V-XMnd3eSbiLH%F~5l z&?L-WMPkA;J5WjDM`D6^wPscVFjc7;>C1CTOavsfD41w=>$S{>tU}6k1b?#+;pHdd zB$0lv03OtW=xEf`H|0_843zi(LgzP*1Yj8!OmWid2PJyvQi85; zM-(Ac-U58Qc745-JyBAjUq9Xi>?!4D-F2PA~ zcXxMp2_7K0yIXJw8r&f`1b26b(xJ zb=Bv=r#eS|^TfjQMM3)(Wz)_Z-J@v?$zWV}YHD?tyEUx7rG1;e((z}e00oYimMJt# z)~$;g-wm&ITaSlinPU+U-P}AqxHuG3GIgD7%y)yUROZ3waJ08l-ycG&2v@*L`9PZ@ zFdpe<=t?=74xVPWCjb5(RyfMo%5=0A=I8xeyUz8$VwN`6bn{1X2%Sxtxn02{nbu_f zaUvZcKg5{WRu70} zXuq*v$>2A>#WFN44<|8IR_~?`W>3gf=Te_HIQS*r$|-rL)meGP+Ue#ipICal{3tv0 zth>5x{>(ncV7?g`^k`DQ5V9z12h8)g3N8B)O_YE7h zEe{AEji`DK@9f!$slLCy7H@E`?PGeWzIU~HSyEqe*u;`(a5pnl#YRdK=-%OgLNU0s zIyCqb-rQd2lwfrv0|jgDuX`~Y++C~_6;^q!jCt5QYR2Wt4?|NNUF;7x69x8ER`Dxb z9VV|m*^uFVSG(ty4iZWaBWgl}J8ahv85|pa*CQaqzXk=%F6m2fj-+eXKP&X%Sftf; z+)CZKaCb~^PblW~vqwm-Ge3w#`LvL^SzJvOvak?|`R>&{*G2HMc(6d~lnX?@NXQCk zqw})0AiM7)LlcVmW>3muL&`>FmiOL9Am#ba?KSE$a~qE-s?N4{|8WqqQTjnt{A zil`5rB(}IZbo^vlR^Q6`^y=3*Sw70oXk1l;F{e5>o^tgPk zyA8`M(fv8J?2A>Xth;LBOWfZ0c=H1q!FGN6X1SYcWz_Ikb^O@$N5y*HM_Yb=o@u;8 zG>7}UUE+@Cj2+yO`fFE&g9OHWWvO*stpX#--L(R_rW<_K#HsCV*DN$2!%%c=>Uv#X zJ;;q(BKG3r;N5M7{>DAV*P3Qd%w?06X@@~m)DYQ$ULH0HkIs5_-K~qbsoT;kSrLS+ z)yxG95zEh3N7fOe?>OGs_k3`Jk`Bx4QXVooqAfTBj!U*r&^DjBp!8syzP}3{J$po2 zu>j5vV$*06)7115;qtEZdXlQaWXM1kU&W(n@fCPX>$eL!Or%>6B%W{QeK3rOtkL=; zA5#N0u2(s8jw4-k^{%Slx%)%00us=e?a7ocHYNRgR)NP0m13r{R^u+*+@9{9CZ1{J zhUI&6hV<*W^fmSE5S0p0*s3(argB9Xe~PKIK698~=6$gI9H>9`Dtx^3VKJo~iI}en z(#`_otgdCh^ng5*1OBQsKhuOIYk9xX%U*TB@Dg$8Fmox_ zcgtEj{7ow0j!4pcwCnP)ugNUHPftqvLF<>7i99rZ;L8^Et~iM5TXkPZavmDdx3fAR z3G=2nNCDQcDroGkmcX&wTDg1Ink1>l`)q_O$Jf8t3m2cUsv;-}8@WnZ_;5-gdVNnG zR+eu4!)}l`rIxC&sYplgYccScwIFDddjwPAg3yQRno?VT12A?~&MKQ&`{i=Xd zTw^R(OV{r13pElCcGp3^jQc&6h0LU@pf9W}(xs_7AfImqt6zd&#oVzd+&yeaSj8Fp zVT^wJ$7u`~!Cnj!YRisb#i`EFBp4x*6GPi}IJkRSH`?z&W=|#=ZpZOZ*SB6?-PC17iWB^ z+g2*V%C_Z)l3~;kS$rrM4o%|kk*_S$n0xo}sf6in zpODtHE8rus^3KfTmVwt9sIE$+KR_HyvZ$C=dcT%B>E==k%Uh zghkYgpTDN|o<17rND@~jwB3vT_}>5PNImPhjZ++7?3~9n@zk6pJrc7CkH|3Cu>7pu zFgR9Q!{CrL7gl-^aDGy_7=ySo#Nqi__&<*Fzc_i`*#EF@Rd8!Zjo=Vpw=OyJiYn1P zv5X(oBrY7inVuVwuU+xTUIZUqFOP}f8R^Wj`s#7g)BVM;`rrXTWT1znw(?BE2JFePDE` zkMv%=#-`+)9LF}X*4oj^{XBN%LXDRf3puOa&CTU>*j*-SR8=#=NYhe(W6~{->%o4~ zAiD1o*@kz4A*S!rjCZx^JaX~|x+A6!ee|nES|SnoxIo)EMI$fXc;30!X5V*}C0j^d zJXvP(hTm+6K+zZ*-oby0mYnn2PZJpUQ?Fa|>`kA%K5dZYM-+}!A|@T_kM^s5znNEd zRl6jerDyy_`Ph>hQ)5!sews!!>lMc4IA#}jP`v|QuKo$%HpEHol4bVl-HjzNvL&#G zAXVt(qS63^4L0abzy0r9fY5EHKI2XM=smt0g*`H`;tgkP|6+IBvvRwAQuKixdoymT zX2ZGM?Z-||()$}de$DUYW8 z^}^GmLYDsC=AKW;9Nv~34DF1w#5TYaJgw&SeYfINf3q)N8Kre5TO~fpTQPo0`sBF} zzM)!SZ(U5p@4(g|OW$Y}{i(Mq6H6rAy3Yxthgch7vVq=rrEfi7+SHRk`(d)6Lovx` zr*OXLZ{5xN+q;+wtnM{SKIx}0a_(6m-^BT=Ca$Wbf8R0qio-u7GVE<;st)vp7TB~w z@-fOio?OBKV>YgLe4H+y;8LMM`zcL>oC}xEj*Yhuu3v54yC2R=#P@>B#^=+TYHLmw zJk#UaL&;X&8M~63*P$Z<7nAc6v^|jTqFw6Hm0!EyO0Ps1lOkcZA zNgH?8O!3Y8z9^~{LtoZR;s^KOyz{3(d|8L#ymMgqxmxhbE@J>y=k!t9@S1HA+8FL& zV<83d4`p8sbn8z*<0h=Cb0R!Y2Tm!%hYArJ%XwI@Gw%f=3?VZTKzSz!?T-fcWUsRA z?ekqxwipxF4EM9F-g50cp4?9K8=9}F;kj)VfVPCb*iH9*T3z_GPxSC-AQ%Gs4elZT z9VrPXIReX9cGIpdivO<}m#>`CI+d0hVWS5cvKU^nTF+a>zCWdghA^>ZTE%SAZvUA} z)7Ote(cga?MC&cb3A9~W6E&Zsj-SqXL=O`aZzu=^aJZKkPZPg_=-N%P5=-<`mI$oA zw>if`VDzz7RO@a?3&^|MwH+vg)-3&ETw^}nd-`0$)y8*>zieAX4xKdSsUghK| z+&&ZSaCL3oTr7n%5i;4nc4(E>m5G&Eisrv;iBUb>QxN0(bp8sur?}boKW(iyIqHqk zV&UXs<@hgL>)##i{!cfr4|BGwAHRRv3 z{1?}H+sW_$1lRf@9YfC(N7N+HK{kgtuq4T?+bJkGS78CFfgo25WSNaxE-_FwN0<&9(=fyU#9|bWqL>ui;b3OMp8k5H_=59_p?Z*mizh>y6p{+3?m}(IZsYss+d}zOmz`QGluImSIa`jc8K}Y@lZr{N& zBOOIv5p)uyQ_M-!a?kjRrjYzq4LSqd84ZN;y|2vRjbU4=vx8Y@u;6!^u|6R=IXvg3 z(%&_$T|S8g;9q+_DnmJ<#I{L-$;8k2LSAh=%CbqSsj1{WRB@t%{2^@+)ll%l(sMVl z7)(r;g!EuFN_8V48S+cm8v_ANpu+;%5a|~ZSivy~XhrihMJJ~@v|*;|v7XGgMWO6E zLJE-Dta?HUb;Dy402A2CwTAZp=PIQw2}vS3Y19V}8e2g7Pr0D@|6Y~^Fo3$iL54CH z=K9}R#*j-$Xdnwri%4CX^ZNEI^~DcP$H<97KqvP8W6X#OGzMu4D@(zQ-k1e%sjk8@_h80H5S7vzj@7iW@g z5xIb?yMz#SM9CXCn~VivXfb5nXNA}(C0=M!C*wGDlcJwFjc)>B#34xkaJwOcww22I zq-^RSGC8CkHO^Q-9Z=- zsI-edhS87n1BznvPaJ*N_dHj8aY3GBPc!sUTm}14ymW}89b3YGeGyPY;fm123=O}% z`PS?Tkib_*5*?Q68fB_G&8-X*3p}PIdbp_~b%0c-z?J}1n^KE- zaJ?khxu`^2EyB)}2PLQ?BJU%ep`G|U#@-?OdAUs2aBa1ZgGsb2>fy&TEhi2#$wVJ` zDg@5%nyTRVnWE%|1&MmbK}W6(%3s-Q2J^M^9f*gzO88AfcqDqDGKPO;4B$9@ly|zZ zFEBgoS4$jL`A5o97cIc?KUpcDO_h4LE?ANaai)a@jz7O*jWGiI1c!~**R6qRd!apupgOJi-tnkjtLFu~rQYVZ!aYQ*OhVUg^l zC<;&$SOqiwAmtqQaij?vMYF$lnMOV2Q-`!}DMavU$|OH0qcNX2>Y2aiYhjdQq{O5jWrgxMi=fVc67KH zGe990WPdL8FfDB_4D09Ik78N6IdpdX=KUkCiM2|m#)+_V7pNw#INvm+K_rr@S_2%J2x-;RYN3KZ z$bu3rN;cz`)SAgF_F%@%Wog>gZ~0B|ghVXRA956urdr=@rdn$c-u)Q<6%T4G1rI7$ zV8$U?8>w02?1xllQ+rO|rYI&4(ZUa?8B8li_-U0blB>H26qXGu6riy`rfuSkqUxKL z;d~k%)S%l1-%OG}`y}l6XrTKtjnq_J;90iofBf7{oG?|?4+F7IS_iLjfkww`vLYYv}aOxI!Np zi`3!K4#%dI1#=IRg9rb2h>ztB;wylHcTR*CC3#{D5~Ku&9gf{9pw|>3*-g}P!vw?4 z7{u2RTu@@>Wjattxlfec72d`Av~lYYukd9}^12CKJFEjE#?v~}uDrxXveOYm%w zh$~RAPY3!Wikb96jV&w)D%srhRIpp;Zz)Ch{f$=E%`5q%#{3Iib`6n4oQ|j*)-2(__SRO!88kcS zgt<<6E)5qNQUa}%AS1BYg*811Iek%?#BhA4j+#hAi4G!%2~buQ7zG9&@l-WGis^>t zfPEgL{mX5BhmcQD(PaG+Ll2K-#)>}PVvjayNs$Phi(WGwVpTV-l1O6R_|2HwQ$%YhpVa7G^eM^!2BuYrw4Dl1*T6jlbOR!;CMjG++flb$(Ss3;8?cQjG|UV#Tl zo1e>|2H%G(u#jo1D1-0lD0R-LAcD2TRlRM0%ev}SjMIP zqk4!@k)k?a(ZS{8PQ@J~NU8@o87rux6{Em?)P>FYsJQ)&lA699=Z{x67CV8*`4!EQ zdN{U@bm*c@iu8@E3swiXx;C^0%H&=(ecV*X+Bd?|Uy#HH`$eWhS}la)O6lzY>_?m& zy=xRHX|!}g+}JoL6uJ~k7^|3Zb6Wm7%xY!kaO8`4EBLU{D62Dg+&JlE6NMCS{kcuC zXjq*{VGmeowdp4Ra4?hYU*8>|_+&e}8B?;F{xRm*Q>vrTSXHy_dl?(S5=HsZ5F)&| zX2~MFqEgr-jYij0zn9OO$FXx#s#gyby&+nPKv&NP&ASQNg*I3Yg~QI_q}gRy#lw<< z1vx@4b|pF*npM;z-bpeCd2h#=Ib(sS1+P=IQB3MA1CLeE5;XsKC9i3c}h+oBCj^173WaCynz60>Z333Y{=_izPT*+YpKfsw+}8o83S zABnioqC})Vp^*;<$QS>6@#PPvl0k*foksjne;BRcqZA0E(>4qSIe$r|n}F<_EeHw1 zPwo_Ctgq7lcJSUt4~$tg9wIp%2tufEIxXYcjlR_Cr560ln9T=qk_8Hi6PD|5eMmjS z`dBg$6kyGwfBoG`1wD#qJZf^(VhEDGtcGqC6PA z5t@n?h1CNX8N$wes~3uM{D*#vi7CvcV0@jk;u^t6>$(VN2i{+~t{tWy$OW+bRvi z56i_;!ssuAfaP2W6&*kUs@9;iy2?v#!g;Hny;z5|dO)@c-Oq0XaH1qA5~%6boOv}l zz{#9%57l-$?{V>T##Mk*OUL%<(*d_jVgDvPq=*kKB{}p$3v(7EdcZAn55x?Sxn4s= z-QPk>NO?unxj2D}jhxY^!mQwzN<14hJ}Z_A2}w*E}d z2V{lo*xo!a4pNyJF_{KN;KDNE($JA-)`*OGe-(9tT&%$IH8`)k15aRlL0OBJ1RJ4` z5MY^`*^nIx#`5)>>Lvawd*KnXDjWuz%KnwT-~rhS5`U+HUZ_PqryR$}j2t}Ce=$N21XnsR+i899uo9Xpa?a(!QP-5=fnWOAYIy_@eJztKYg_6Byu(cbt7D zL5TYT^|55HE>ACQE}K&|e=@_(z4-h(%7JF4TnJSz{hiP|9-TlbTyK^5pPjX9PjMzw zf92_(cRw8^t8w!BhtFckQqF)%-X@)nSsVa+<$Y>{f(Xr|#)kQ@rc4f&_%@=clEZ*> z;C;aKmi8t&U;c8HKqc~s^nH89P%(jVu(pB;;WE^3S7#7&FZoDVv6QA=p3&|sP&Eq1 z>^bQjsL5d4M1sYDOAd{)t~ypwi`l=^i;Xl2SD!^JG~TTf>ysONt`f%NpL)hH&8;%s z5Mjn(6d2|1-Dbl4%0r>Q_=Ed?G{i5K)HtS4w{w&Gun%JLMvms(^|t#AV1wKlOWvG- zLYVKO2`yyi&kHZcgUfOJwtI$~yVoNAwzr@j#5@8?il_-0<^(FC%%Uj+vX6u*#73u7 zA^4jEM4|!FE(44Zf`kt}66G@|Y8)(;a1)MyY`G#yP@a8|5t1NEBz8vRBtj55UXT$% zaDR5qIHZK9N08k?SnLRGiP~OTjoMWnYCb+3%CBKm=8*)f8qi;&R$%7tSVHQVl(8o7 zVTNQv1o5oNsq?JC%s+-@N5ZfeBvyfdOlUFtkzDt~NcqZ;T(iPR$%>HF=fFteOUbwV z;sz+mV6OYCOsAXUiOHl_8oK%Nyb@*JqWa=z322ePxG<(fvK^w z{A?6mvnpgz zfTlMba}vqfQwEgMOUV4SE0`g_=$+=Oxlmm}z>u%m9cD3>!b`{-u9cK3dyn6s?T?Ms z;!xmFMs;2g1uG>=bvjr4?GLVH2WuJY4$>28fMcZ7dC(14&R9HuFlWHS1g9Q*@2c{{ zqKu*~fTg?(CvrYv&Y))U9VEg)n@@N+B6EALEUb^ZchMOLcOzgtVX_*esMeImo}K3U zftJlFfbO7e@g{Lq)?pHLt^wrG^74W#s*cd8BaNh@7`BQ5pmI6StCXL?m8z|;QpODP z;*RJWYp$~uJj9-*76n+>HN)J1sUs9m zbxAvGmiEa#7Yxd%-IeLPCR^VS9rcWmyRrn95z}L)*Y{I%^dW9+*-;yOm=SeN#~o0s zA4hOTM4FcY2Kj7EV)V?;>VIghv`eY-w33og_f>H{>`g80FUM^GT@_txGMUn95!wNG zT>&E<-Ue-zuf~8+OIbWZL*^GJyW)Fm^-Q^zo?u10FZg5(#k z*y?l#z$RdT)l9Tax`H{vN@cpQAi>5MeyIiv?w6O)`huw=Xy^t&K`dDS!NumqUO=|$ ze8^LU0oPaJs4<5Lj0m^|l@CeIql{y$k)6rB!O&;9gtQHWw8MZN2A(`Snsx?;M-BjB z6%7&h2@aD;m`g~5t}9Pt@WB?WgdWF2{yU06*b`f@G&JMP<7FCHJ4SD~);DNo&Lt!o zWW5yC>@@7jhEEr96O97t89>1I z>2(^<Pus+8iC13ORU)YMX2Wi;R~o zELd+7SdSUgTwTQweH)T>5st}V)D;fsKs)wKlDD3jgR|DG{^Z@&CO)w)m}k80%%$cA z8aO6TYMjkwp|b%uvVdPxUkQxbHDf6(O)m|9J~8pm#YZ*rh7i%qqjN60Sa;AUH3=Gn z;R7C#j@=X?Bc<{SkQ;lAtc(u>yej=j{`B2&h{$`4bf|{jtej(V=4LkPwg3~C!$`$E zoXd>2$xA=57=Uw01FU8M1{YSpI{4H&y6LjIxm@vqY2P~MgUD#iSoRm4=Suy6bL^;>MaX+gRr`hm`(REM|!w`GKBs{`EFX49gUx^?*u-1Xq& ztKF*V__Bzb?1AOu-R1P*y0+R3tsgu}4*Z@1KU`#=ld5oNQ_fM56S11b4I|35`emqK zE5Pho8%2*!j%I_mc4(gduvs5!CSCuN%{uuHHf!aL&AR!Q%~~|*7~a^@TdJ^(o3iT} z!3qYW>CE7=DYy2RVp{g$oAzBHNJ7Y3r(f!4-@rYgTiP4`!)Benu~}7Cc}!`mZ%~#g zdFD!cZB)CJO9VUEMP`(n&EpXJLrXIQ9xQO(Hd2o1H1`ip8jL8jPj9SQtGxB;tkdV( z&#<4K+HSf&CHQ=v{Qc1!>7Lx_GFCvC1Nol3j(5Z|kc|$a z;6z0kD2hhMsp##(gW54e%Z-Xp5aVA=;ieumKuzS)3s3kkX=WaeP{DHEbR7i^f3bfM zAh#Z){$L7+qz-N8IE2YGj1RaOVw2sqX6{`w>WoUkLEaqQwscqPn{HdFvBQgO`|Iur zZ9eftHUi$_Ef+s;X~#YCxVL64ThxiOlK}gIq@EP-$?URG(4sv#XL{#ljlrVeg%eYI z1;q1)nCf+JQ4!!`YL>kPOeLB_n`dRWZ7M5fs7NJh)K3a$iaZ-xD?imRGTiok|0d!7 z2<+!W?7Pvy=yN@H45(R{fSQ$QK_ypDEJOr-72D6IX>!v9cpa%RbH5#s+|&7S=Xc88 z8$MkfKx+71L29_Wd?ob`m~5v^GhO;xs8nAWY~{(0=9n>C)}pP`Nrgv;U%G8^Wl!Po<%q zVw$}q8yte2wZ<_jm^s}5t7Vd6f5IQ`-s|&^e71565_@v zymt!orK9Tip>U|i44Tg%6u-J|?N`-4+!il;uUU=UnC1EY0aRLINKG##e=k}xuAuJ5 zLpW*OoY-2cnx7fPwMzaN8rw6Lk^Vsia9fHMTK;QF0?H&=>um3f1uF3hiGdFg4A8^dDDPc&m6ghutCO}#M2k5L(pA|vKjiTVrmWR4nZ%(4@h|tab zXA-|FbJE8`UaX|HO_MC`o`21(kAKW8?@bU=`QQAE{(zZfrh83d*5DlRL`ZsmJLBj8 zBFV6@^~>5|w$GU;asgQgGztsc#b49&%yc;Pc4nDY=y8uRyp$M`!G`ADP#Zp=36)(o z89Z=SQxF5Fm64UGV9f}n1pRWCpui>gX5Gy22zB^U_c4|xOW{LYG5E|#I07K``K#|%+K8YoGy5Z{0} zf7jkvYjm!!%lkqRlPKV#-@fh$C%AK@;zXdbRwlPAFb;-T!9K0pBW2YK+cwXlZwV4G+{G*A3ZkROQt51 zqKVcS+N@s9PRN3C!Vqhd6fs;u}H~U7@X()CFlT$spL=$6N#WRkSZw z#Z#1^K#d+B(I_9k*0fPy+OVZKJ+%ZAlnM*CjOb+mB4hrEv7xByhc4e=x56BV?7n=~PJc z-?%13j5D9e{yJG&1dNwuySe>Cye15nyvRxJ<6nmf%orTqTT^pt03}NWP_hL6L&?h6 zet&wUmQs{!+%5$`TLy#-mxr#Mp+f|lQq?1lzQ1kDM@YqK9rFuDuH_vbYG#K=0TnL`kq8dGpRj z9fYV6sXEQCPU80!OYTVP@UG1zfVt`i zc&vX0v?=C?ssLn-@-ZC;XbsH6Q{U<_!5A7STkH5bO=_m% z98M%640^AR*?-~gllGpOTi4-CiC_WXSk$}x?XolG$dcd!njk6dbtPFUmL5646w0~X+mOj^Lk^6YLjXI zcN^MGLCNxa#}d<(ydpt%oD$2GNSsBvH;%5{l8e;cDnHuCc~= z)0aTh3Joocuh@DODR6k(-U6nH5Va5b5whVNZ+f*J3knSM-qd?y9IWLXS&MDIRADc) za?xFGcJK(7s)h~&O;M|fM zq*VCO)eWW1Ei`oXJDA+F^D`P2=V0$8|3wIv$3#oCoe{B55r1=#EQ`W2k>AcBCB#1P zeLYC&&7>me&FkU_c`>7OgfeZ#N9guK22RTqQo1w9ywePZYnNeK#f*iKNrwV)U=Mk5 zw+N;}qH7rkhw%`ZL?_qzz6b7CG8rcI2nu)rrbLR;=(v;u=ntZ>JusdR3IF9|-T(dy zM`1e|uyE~6?@gvG=uIe{b^Z%%OIyuDHA0ZK8a|eI1#E)1rQV|wzH@lD(&5JCO7#sX-!=A-G5rHr45azS1xp&UA;URpEMBB;RbVwHe*T|CjZ$_3m?hIU^ zb-r>@e_iR1ancHvH@%8j9??8lk^C3j9%)7R@V9!6BCJYKN88{|qYEXg?%*m}#eM8Al4Y0oFOpTLJo@<`Bug6SA0$geLz(#JA0(^u{lT$~%ky(8 zE^z=wA(D*XGVh$9d5fP<)iog~vBkt94i(C53W&NIMiVl9luI!5sX^XRo9ESk@ipu^s$N|JdD5f?> zW}|>S3Bf$GODG0mj@4|@y&e2Im=&);teiAkfXF&T)CjU^7lNNS<}gEz<1ky-Q@Myp z*8*P@On}RdP)fiSjKdZz*AG<^3EFD~i901TLxLMsL2MuuG!O|IxB&UGjhcG_CNXq4 zgwD?^n1n@@1^#`XXdgf)-2m6bdu@Lza3FJ2$ zi`tb_oh6fmXlprbovQdbFEXP&B*@RG87xOosCG^|M0OC&GNJQDQd#Dwj%h%py>&FxovA0DElD5FC34~;6;ALy26GM9S|tjCT4E?qbS|{ zD5U$~sNI?I;g&W3UpkhfoLPfKYzH~TOEk(XTF`8L&?5Mgk$E$UR9{lTwlEAnThP|I zYfv?*m_(+}s@A_c*3gtHbw2%4voy0aJY+xw4bY}J9B^1^OzK{W{yl}DaCpq(dyh4^ zgfj;qXoF=yz@)aPvWWDii!dCte0%U$iKLL2w`4g&@fYv%$XF+#EvDqFj5J zA?#m+3^}57fGi4*Md*T9lbp)za~(EA$lF(tVuZ!Qe1w@1l=#)z5V#%fSlpR)YOrw0+Bg%b zB7m)^1EQxFE6EK{oLbFi{D@v!XiYyLI*P)Py9g~qBi0z9efK9oLtqdK&nX518p&%1 z4Ma1;nz$`J_=!M(Cq)g%!$bS>{!|RzkR_n+k7bqYB>{v;&16M0eku`^MWzz|MBj0c zS>%)m%o#tXxaNJ)h|IC??KA*ruD9^;C&?IcUCn%)a*gp88d@FB=WK}!UX6crtp0y= zED1ozGO_o`j_SQ2>)(4jN5C;vBC7aC_fKf{CXUMR=L0O)E(K7Z2L1T=UsAyByH5lU z15?a$Tcc)SRw|_LACwEI4y~I$+<8%LgbJt?nqj9bJrLYu!a(H@w$=29MUDS%VCNLA za`1)d0ONs$Tcaq{(8+N43P^LH@}O|#6ccamVQJ}Fe)OjwBizqf_AIB^{T(yuP3F3Y zD9l~;IeStEWlBU-$WHIXf-3Cg;Y$<=5VJ(x;1C{zq4F)oWQ#KAhhlZD5fCy2Xj7;r zex8bA2C=hx;z|Gg=+%=T^^sL zc<<*cD}ew2tI9D5%>%G3?7?pZJx+nWzhjK;YsmSOj-CPc%2PmwL+yx9oY5uw@_+yj z5U>n^45QlEJU~R(`v#Uy5*2O&{#FBVS1;0)TFvdWlh)DU*3gvu+bP7W0|-|P1|VbQ z8H8Rs8TKM^Db$c>sESVl0u~Vs#all@o8ok{?Q6_;y$c;8hD1Fy2Uk>iE6am;F_GAI#Ziarany zJ=CZGWt0U_MuCB@G;;8y{-%rqk(6vRfO09<_-I5qLwU&55IGD1R@Vps2e(cJVSMNI zRbZ*b0bU^-_D}z3KBVFQ$_If72$qP3NSW4EX5)Rjv<>_JJ&t7v;8;>}`z;;TcRn9`t~s(Wl`@4E)v@G$ z&TcU@jeXDRsL4Kqabfuy-9OXblX1SR86zlBGF3x3r&^$YjXu6f5h-joGKHPOm+{NL z*HSigO-in?JDlaYyaBWWrWi#+H20B)c(6_^?{njKYKwN)!~bU*Yl+SGGnj(EwjTwS z5M~4kO;9#1uqh=WR=pdc|5g=D=^q#?+k-nmNqiu%DZ0}v!&E{rW;FKUD@i74MLeB! zXaE#dpSRpdI4IDs0+>I;-x7BNpfC>&WdZMs1@L84F*T`F6QiM`XrM%R6%c@Ed(8@k z#^tM)IyWI}u^O>t4bWLMvoX*$25&bq?Zj2AO)Y3ujnG0b^Xnl#4c7$As3bQcB%TjEi=GnYPvE zW!}V=namAtn!*>_qsn9V*LxxR3@zbT*SV|*hRVw|E^(KPHhRUyX1-I7>J4t|Qug4C zTaMKYZhW3{w5J4@-}=wyX@|ems5&m8ZZx%a+W|$YH0$wly0u}~HchL4Tsre<41eC% zKI8GmXztuvj2iEYt3R0Y{(Fzd5%=wJNu{FVu*uww*O^pfQ}nIA1COmip@x;rYOJX*?p^;&htI;C1&jjVEp<9Ypc z*WVf~>z<<3amhA1U3-cYkytI<_B3p0YH=(l@%VCgYF8-!W>t~DSyd5?l>&dQsvNo7 z=kwL6_k3^e1ED^z)3FRP7TQ9}Lz~qp+rDP{eis@n&otEfTG^sVW>Tb6Cb zyx{C<^|51#$&wbsGr)RI|1K=ZGmet)prZ)8^>BRx&zrUN7)*o(pbyVb&fqLdIWG=V z-L{N0bSPPb@+uJF7v14_a4e5wi=~1v@$X>FW?~nRx`zF{aKF_e2JBX1UOazAoI)|b zXVmBURrY;{(ke9Zn|tuF+z6fj2pq*KWjPFnB@CSf_0OZ$`&j(ddWB7u3`7>gK(<|) zV^8XBJ2->ZQZLM>)J8UaaTwBhTlVvYm8}H zV<6j!FjsGbnUgrbUF|roZn_=cdyFFU^S?e7-?v9BQEJW^8dQy!NQasl8Bvvv zK{&^cOO?_LcJlqG-H%z-4usyiU_25|FVBI5&-%Rd_s{(stMeT#?QO<}gT&dbH~{(J z=&%M44O8IS@qBKGY+p!@pec@gANGNeB;my~egB0(B7GlbdUsNUe?tby_DW>xp$8aM z;Pe^ML>Ky|+``n)x17^~iJ9s9D4Lvkp!u#U=Ih8!8^-RqrT zvI?)1-N94OrW%&1A=RwHmdnpCZ;3PoudSAzHW77j-!%(sf!Y6!tis}RYmDhUmie|K zy`snQr(e$>HLNZ71Jj60n8FGuRXL`(;9hhi{8a_m6TCTN$#JQi z{Z6Z}?eg<6Pz&PtZg^1Oa<0+57s2qX!g8K=7b*0+wR1Dh-9m_0boaGnuKcxsTK z+p|3&Z6M4-HFpj=*_i&SVm*IQxN!QgsY^3;fYLKNE~Zhx@0h%k;Y!1VVeTBZe`!iy z(S5YRN}QYI5hYPZPM_h!{?;}sR~N_e@H+0FSYtpAFW7ormipb8Rqgy4(wj5^z_D_4 z0+t}%rbdY}aL9hEm^wJNLfFbYt&?Gwgkg&6A-G(NmbpuFM zfi~CP6CPB=-pE_r3{Ur-@u!V5G|B=6)1kh7-M5-MM~g5#nfz@l-7x_9P;4^R-a-?~ zF}E0@y>x5r(OzcUEFIC0^ElW)y&?Pk&REvX{Cwj8W7GV6SN+L>@~nHqnDH$8(fqtf z{Yi*Nr#r4l?sJ5|_(oEhUlNw=*m|I7QE!&f`7m3jbVSk{Q#HLYo?0NV$5jSz2Yvt) zyT5zpDsW_Bk09O<`bGu(4_M0#{ENr0NAr`auV0u5)`Jr+F0W4mvzZLXuR1d$bXz_U z?s`;+S2~7aD;yj)jgeN~w-Wb~0&{GRJ9FYtVB8tWZe{PeGi-;Oujzbr`{}|J<9K%{ zG-~D=hAIDPKe+S|wKBE6qm>LOg00YgBG=`27^Kj8NU%Hfcx<}+D+TGyWGG9wC*@(} z7KO<)lE~G8k%}Xus<@i#>1YT8X&oLpS0$a!deJ^oRjIuI_xzH5j_Y$)-7`!jFuyYO z?-N1CR7|JdJyc8`{ljTQl(&d+Qjeis5ONr#`SI zq0_C_#&%2sYY}u^MGtp(Anj_cchih>bT#&~*;-@-mw407;}>}t=pP;?ni$=rfKru1 z9R#i&emxJgx9AF9l#~Eas;rk%Lz@&If^$)j>?QXas3CNpPh#XcK4WzE33a2MoWB*) zU1K-3&!A*f{QWl1tx{s z*g@#d;{<>ZDtI5473$>TE;QMABp{jdzO2E>CbTI$b&-noWeZ*$S%uQM!@mt{^S)L7I7a`(i=?Jz3V1*?+3Pt0pa$%}5>=jn zMAgP0iK;$1lRc#4#-{OpWV*)Gv+?XzPiAF|1=@~N0r@ikwRDZD>l9Tro&d5^#MYFR z%DP#X|9}?QE~{f6%7wM6#x;E{;{~ZJf+wWy*4NoToygQ5^Kk66S6vD&{!m{iF}!2> zvhkZIMuce4d3sfp2!KXw8}Bd{?E7vOSX^I9Hl`2x7PXBR>?1b3BYR#XRXg1_n4aYY z=G1-f&VCp53$8nR4a)!`Rm8h%uv$?tW#Mc$F2xDnzR#{)GkK)oyfbLaxl=-H_JsmO z%bs$NNl6D?vq6~$a9g=kgJ#Z!oJ^h<5{-I2sYLYOV*w1K$LZyfkqJK^dbspQRPzVd z4BC8XW#)mfoRQfFo1aa0Y1a(n*Et1dKnC;N)U)yLZ!M)d;4_c^gH;Y?VF9dyJifsR z7E^+Rvj<9lT8jO1cRF6tZDD}QB&Roj}Ln|Mh(I~Sq$4>l2qh^ z-qX;FQoRQeBDDLN`lp;IZj7;^g3c1og7N54%^!>nKjq*^FCyQ-34^iPM?$Sf$0)`~uBNHv z^qHzqa6h)|1=q{!>sDPao8Gtg*2Ua6=ZPAdBRPX*@tniZals82AG<$XxS>c$a_uLixvU=q18y{45gq!!0 zLHMzxQsNtQQ>SKGrK8_p7}D50PHGg#*}!8Kd8-^C-s_BvivM6huBKBoU|y8zssSXK zwNoZ}uldG?i>~F+%qBA0`dd)q(SCBl$Bs}y5($wy{1hM0>Yy_$rR_K+hrmwGc9BIwm?hyDT(fWdLi_9`^qa ziNw3M`hI%>?)RVReTI1(_Zk3UsXZPB-l~tl%{wtoxTx*ycYi-%w8~1#v@>f{%vT+* zk#*k-EVTKqR#NBN16;WPj*%`v;-48mX=a%I@JV0)<$K&3fJN4tD!FA1_X30dRN$zS z8X1R$Um>e-(4To%rK_u-SFo|m<9_QS{Rg6?&zUyP`UE%v4?+M&S!#B_DsPV zdjJCcXDqr5%B$|7^$dV$rrATin%!A>8PSjJ#y_H&82V3Q=O4wj2yYY!8Pc+T6Hk!D(zbOyISaC z_k%T{LPc35>g4zo20#;^Kj4Mrl=2J^%hb-F^AGsaAfXkEHq7DV1l7KN{_Hyg1?Oo>Jncaz+ zhnJ=7MK6ml>YW*!zTsnM3Os$gOLIe+f8a}F{a#5D^VmN)1ccGxehUas=>x)3f}EX# z0x^9LJ}KO0#CQMdWp2b#5PNs3U)7!OF?e;ZNtQfz;CO1?Utx7@NE>5&jhz22c<%=d zKR3FhzQAM>DPt3VyPyZZA-8uoxnDD8H0^-*QbHa*;#MP*m&_RzcESFr9OctY;bwTv zitV=a!v46oVEA_VRqlLx-*8CT7h<3N@hZ}%p2p4MdYhm_-wE%D1Z8Zl;V)#woJ>^Ba6s9yhjb#;{TzZNp9L;oOF99J`DgWKsyTiH`%*@CAzayab1V{eyDMM zd1q)0c(7<~M%e7SkgTi><(haaS||ZNahwIC6?FFC_8vM5u`b198p}ai>kV2lph9-ckxlaQ~Ks)Kd}d7__!0(X)e_Y&Q;ftT50dh=X`< z5~l3!Xrih2QZX1h#eQG1G}>R;AhYV#eEIwJp=`L%GcW9|R%d$dgj_#X2JRKVgEHaa zQajSI^jR|vt?AP>d|n8O!_WmjeZzg3$?vn0 zq===xbu|WWeE#;wkv+3|ukV%*L7Klb8HiOz@E)ZbWlT2m?m+Sa%P3Bc0deEaaunm; z>+XixTR)~??z4%Aj308k6SiG_&jvIN$I(WT)p7Jmrh9p_3v161u2}8cPT@VP8bQvK zUV#!129}S>bHe(va=z74{d$LM(@a0P+pn*u1%JvA2iMgkhb-NV49GL_w_nGY%Mjbh z=O*{#T&>4=bVeo%kbgbHzM2Na`xa$p(2I8J$^C$emC3e87hjNn&sBZpYQJvMYq5zY zj&PlExV{|yOWj7-c?!OFbM%<35wkLUA@ zjJ|t2dozLF%jfVJ8I+>}{J@8HrjfF2#HE;IpC!UfU%zC5-_LKb&Biy=p?k@LGFDc~ z`p;xhFK!3lZ?ih+>5nn=@OCo_cdTU;nA)$WnIigtx0Nk**VNGpfJ06v1^S#G50S~Y z5?$R(TiJRZ2L<}K4A~dc)uYN>fBFXw8kwgP*eZx%`p2N}9-aN!SMolR$vZmvbw^0= zQQGl20krbuxKNZ#6SCrgw2_-mTn0mOhmaX?VjPZQj zmVM~uad1#j#nqMl0@0{G%XPLU1L;xHoJ#h~3a7tdHJLls^?;XvCY8rcmoB+#_@mez zl0NpK>uPUJ?=#W{x|t)!z&#-@ruNn@YofQrOxE0Gc@mQrL+3?p`*swuZ5t$Lhk3`_ z4wRkvrkMCPQaYgd(0n=Lw>pt~^ZcY2z)Sb0`Ji8S8G}6dlCfDgY9|nUeW;MYUz)V= zk;^ADoyRedJagttsiNGsbqkoB)?TbR~T4tf1~C z+WgnmO{&JL_CBT+7!bCX_nLn_w|7rbletGq)zOmamCE3p!9jmt^~3AWkhW_$($njb zgHq^vm=@oOhANxry;Tc9BWQo1U&iCAV0(?2m?@c|>1mu7W~>5W74CRGFy4RC@3wWn zr8LvOZ1En7^FFk5d*WhJUcRGv@NkkQS7>Fm_ulikul-Sbm!K~wdZ#3FE4<=TbS^?( zSbfGYpkH||0!S6HA5Ul830UKv6rH8!88<#D6HKs$x{}Jjvcsm6&n^RR+_@(Sng`O3 z0jZ)a?(S869Ii1y#HjiB^}`c&8aboSo#<-z#{qOBww~!%o2}@UGyV$&hGd=5nJw1j zp=AAOB84ol1-n!*CR2zuLqRf~%9(QD#%6Q6eW>}|X?}sdSA@5b#69Zi+vYJ*R-l74 zF*og4e_f^~$Bpe^#j5(z*#>>j=TheU% zY-azv(A{Fl6X(8z@Os3SuMW9|kJ;qb#%*8TN?;(Z9ya@FCQYHn^g<@nfve(yz)Bq3 z<5!QxSdj+X9-_n!P^l};*>-D;GIQQ5nxlu{sSK}(L(pU_VkU%f{AwG;USylE>V;>o zz6u+%^IasmHJk0#Qo;J`@15%V_Rg2rk@rOUD@4f|MJ;E6TncS{0=s>3 zJM4gN(U{}0#I}NC4!2jSG7pf2lIu5LpJxcnqo)f6e*dY^TJSe-zt|fBSqY5clFrt2 zBdzG_iKg=hzt7Ov%gR|^M&FwimLM=bag7(J3&9=l1h>khtg-?o{MMf+Y(U~LEoq)V z(U_TC47gwc={UZ5Ot04j$_d2<@@L_ge&0I*1iBC8eODS{W@hh##k8t9*@FmFmvO;* z78nN7tt%5+wFd;^;*C&0q-R{kT$c!wi2}gj>;j!m(YQi>28?%-@Ihk2OpXF8jbMTU z-nAx7LE~rnK!K5*Yn@?>Now2#eOYd#NBY3&JlY~*L95A;P>Rp#phr;x0j>%A&T;Nj zbze!cEE&kX>7VbIkS0aZ|7Ojwtu%^M#-Ee)u9a4h!v5ckxsL5UC@f0vs7;^#|EjoM zn7jhz=p4^WzT(qy?|X{ygtvFFz<2W|)1R#8gr)PkxAqHgvpX~R?2b1xndO#nN5SF7BOHJRsavv2jc;>l|(3V6m_Z_q(~eIKQ_5p z^aNkS-*s$`ggEzcxfbgu$;?TX1k?ZO_`O*WDzhMs)O>rqT_AoFT$-~p z|KGKW{s{5>qgBMp!Sx@lA`X^+t^bEwMI5X^eL&9VzqE?j|62Y_tLTs6KPo`~*J>60 zb>u&z{8y`pgY6&Z#4W6yOdLp<#H|gTOvFsS*cqE30RA~TIhYvQAh>0m=*m>lf3#iC z)}J~8FO)cgMTI)A9{{g~GguVD62|JvqzLS~CK;!{yd(dPE;YC1v~W|gRqDb!sB_`P z5S~wiRS>7*dtXHSwspDT+xh%^h4^jnviardB*yNrG~mOV?%~^uo}$3h$ll7zL~{0q zkK0r3bCuMAQDXDzdR^4K=tEUShA2XCu^`%~=f3QX*BjU5&h{6bwBd7N_5vm?_lnAb z%FBaNQJeC2>aXQa$dxdN6w-4kp@G4XmWTHN_VPu6FZfZtx$9AcU6=QlOxW{eNf&=jgP^ zizFV`C*^82NXftrszv0c2E0?NUANpt+$pXqbSnN>`g)|onmq4A>+J(#T(NRO`a0zB zo&urjk&cE}-FH~E{HRU3N ze|L^g{@u}`Cnqgxo@a$JVJo!`;z%N;IZ`rVD6c^j){OcHh&mwM1-Z4w}OPbGDb!oB>`K4NM6raEBLe)fZZd4&YgUuAn5P zflwtXg$ObgmKnu`s&9U$B_pB;mE%qc^+``;^7K~mHZMoO>95;DiJ_%nhMJ0Y;==8x zfBOJ8UxyR^o{U--V@WfhG#UFhV4re^MOFRI>CM09<#f6H8?ZAVU@~xceFow2T?yvQ z1@_F8c(O{!g)}hA8Hk0Ffwa+qw9^LR4$OTA2GT~%J3|J;>?xOADXd6^^svh4fwXck z%0Idi`u`kE$(@3ZQYb+rBYcOrH$R*Uta~QAzl5C7J|ZO~#5q_14pA$_kuRU4S0*xg z{@oluN`2Lw!Lx#;QiD0{4mHY>y#BiRSvn96U(o@g@^jd5*(<{Ekm0$dDgi3VSEuto zF(r`(V!CW_XAOaiJuGnNrF4YqH4sa)JD4ch8KJE-wiWLPW8EaU&%9ycB1{ zSaJAhcGv)u3g1{YDYlQXyLJlFm|b)pS&@==`x%UTB9^!ptX9~+ueckJ z-r!MJI1T@P!Z1+9NBO}U&~1&zmd{s za0K|J$kytNo!*C57Ny9d$MBe0W5EUHzUsCGj7wRqB9(mQ-s|fLl3UyqLs-7qfuh4R2ohE@r z_ghJ-U_MVHOn(z&H^`}Ub1HEL1k7=z%8kRxap=$2uyuvd9y_mG>F5V`)r3y@WZ&Nxk^tMmz39d1gL+JtGaDG8Y5-M@WAq5(NpLWuoV^@ZN~b)--tJye8OrB&K#8ASp% zcK1QIa*WY$Sct+*`)6+jzw5|0Pq+kYd}AY7deAvbWILkZmWeQx86vTVetDj$dro8- zz8b6~x7=4}8yK9PfNyhHmjf_3bfsY65jYhzpOj291Lz*)U%etAz5+i{-9s79)bT8HFkPZ9c}Vm3wFd@8 zxX0w;lfCmbxM5WO6pIJ=VW*<7VW!nVVc_)aps~EHL{tCEVrqX99#DnMNWQc?7@`L{ zQqD}^2QfC9{1bMNiT}5b?_h{pRn6*tDFN+ckBn;4GEUH$2#}KOeogGcrKn>hjR$cC zM-gSx4Puby5)EQ(gVtdkO87IJyf~u<+CQ49o=~S&sR9M?8Y(6{aFE3?XbLJgT7`t8 zUT_0&0wk;J#J1ka@yHjRdP67vHHpiE1@mTMIN~jaDX4JHTvI!? z#8IJurEi8PLFV!1V41kf6nHZ~SR~Pq3~ZEZPuTr|;Qehx6&!q1j09o~XI-3M!e4sx z_h3UIB322)43s!H9+3%UDlXATp)DZQ@{JjsSNlr{pT7kdKxe?Qa)ZOO0uf}(ve8(& zJc?TvTEi+RX&OwI+=oLYxWMTmSf&xePKI+VOB@ZxVE5(2lYdMqsu`^HH1O44tr|O6sM7~`wuG%AdyT#)n;jcKyzIvITzoBNuacU zfU6`Tb-t0{#fOn}Sq7JCX%iN&R7yLMRnLGea|L9=C{i2Gbjf3+$Xq8I6olDux)^9% zpi2g1b~sOgfJrIQl(`rUQMx)RFN+(2pK{ScjWY5b;ToLKw=~K0j(t>Ea#SP(2lB-O z1g(8RPKYT)hjh+&s^toQW)iDQ5*6SQpDKJ`N`jx1w2u!@@{?Z0ei_+1%ry2X-W=2gb-h6QFuv7BB%q9Rd@e>fZ?vu;JcNqzUJSqj~%Qg8-fey-C1HRY>uE@;z3B zx~)JD=iNcmc~H8s{kddSNr5bbGGD{YXjsbs#959og%}>FnQ7VpQD=(~GH15j?YY-t zPRxJIMq6Ngy-YV+;5uI#xw-1{evYjDdqtZpas#;{%ywvF_J&hyIhl!Xy~(9T0_Vb} zZbY)`s%LV?d4^t#j8XUQjDnr-y?~xmLvr@(Q2@jX(c8npEzH~V!6k}x$$1Q5y}TXB zK4id-VfN{@o#SCFnyrA`Q9WuhnrnHt^=M;Zf`EhH#R{zHVIQ zI{$N1(v+jv)D6?gV!Z|=TH$qh1@3xs5Pq|02xN3cK|lTol+;Y}s;aIGdb~`CC(;0c zvPCG%&QbKJDG@zW+Q4pX)xqNK5N}FR5DqI!686~Ek@&`CIbW@N$5IzxGapQ=dv%+6 zR*G(imb3Yl*K!x1){gA0Meh%Phq1(H>rA_3Vh!iVl*)_AAHIzPkTQG)kK|>$!!d$2 zyTI@08@b`f9&w0^4oNyx&C7Z(c8oIOkT-m|h;u8=SD=sUZy45~1Y412?CLe!&) zt^1wLI;nYMQM>!vThS@0GadJM5wUcILxdXRadUHXM+C^`*K4)L?8sC@GX1{^9-8`> z7$n5E48q%t3C3e%tTNVE{}c`ah0pguA(No^QQfuM5FZ1tK&8#8>A=AI7i_Zu(}S;C zm99NdUMaJ+Y%gLNfmdfR6BQMriZICcU(pe%CuMUAu1xAZI`6SY#`Qo|q$@q0v?|@S z^xlk})2F36ke^w|RfQoG$V8fnkSYXERE=h%)EQLuD$t-TuT%;~R8dA=+%_J)tjB;nXRw<8h6^EI?00e;b!sBFk)JZH2q zO|3T=r(kjlOE(0~@54#va-Cu?EcqzjtH%r_;5``y! zgeOaYWm(>F1!LHl37y>J_l9Fw|95AK-}};wF=Vsvsu_+UYXaK*!HZEOV$Tsxi!VE3 za@(tNYapB}kRhfIvUgy!uS72hOW}qrL7b%)l^n&W?zQ`85e$o#FRAfATi8GQ+kf}P zUi#EOH&$YPRG%SSAl!VaJeWR4zJOkKdgmHS)GRUwM1{deD@0U}n zTWB|p7iRZ>(mh2`QS0Q+CqtV4%}<7K-*K*vp7V4)DGSEB6KaTB19%F2s?X;2U()=V z6qpW_SVul?QEn}8G3olkYSTszC%4=UG>yEy?yVHvsuNzHFAPx5sY&A1CCs{!T5QA) zG``%Vzd`7Z-^99$9yFuQh@pw8 z1LygQcBEy~z!}<*5r6CntDX{8=n7nGMY(|-3r;~|HuB|G!1DiQiQ5I$!5 zT12B#ZGvQNK1@2ByOrPy1^YXmtv2D>`E-h%o-h1V6&fD8Od7Jz3GC!u2e%p`@Na9W zjAXC;WwM=2Gc+{n0xxHoZ~7}QA5m!EJK7L(wl~&NVvik@?|*T*)@$8gYzW_j5K?oQ z{&l68CFGqSS?^#RqLC$(<(WW2g}pi$H(X(j%~zgCt#~E#WP8ShyjZ>K^=#;mWzs7F zLqs&P?wEk4&rec|}~~8|Bq)z%r5a(CxJRsnpLK&3f%>*7EJ%bwa6s;mKJ$A-B`@H9ZfJ z;;~VW=!fhb+BFNWN0qLVHU9bdzBbbnWp?N#)Fpull!~a7*|#0|-rOM4|7Fmq5~&rC zoX=!?SES0by{w-~z{AstJ9G-vivsClq^ORH?oGzNT-%zQG~g9JMyE+SX1IyY_X&l5#+)#x>0xL{ z)x){bq7LaM{-t)8CA|K!a4KZE(X(v`9Y4L1d0DIZ$(x)*#*{OvA(mB_Fft^U!-(n# zX-l)=F?Mnj`9!fQ^L@ra$E77Q`5B|{WQHO&)2vzTJAQxWK1-8!sN7O*@$|O)M9r{Q zd*ImX9xr zz5VY$H+9uj#L6GCY33X*uBb_x>36I&IT6$&c^`0TF&qUVIkC2A;|(7};$gp@o26sD zZfCAWsjeE!$L&&yrM_ziTH8n~MQIFfG{ZX?=s)|rS%3oXudz_XYv)f{}UC$&T736lLC0I z_Jt#VdbZ75YqYqEFapzr9n@)txpU-vX0m@f)~vLske^<#vFBInfcuu8CvtF*yXMD_ zp=kbJEmi8*vzB`u#f!szVSQAfi*IeZ#obCu>+?(N151&>E!tG(1Ue2xyq3PJ=uRLc z-P+E1qIqYx&(j69Nq&QtBPsY0(BaI9$m$($W9=mM_zHubCzC|&bVf~c>tpF#)&J{* zBz&@yaEq~f_nl7w*`2b45ZFQ7wR2?XQ&=a}bTAsDl>RCdSrp>|dNT#4)SMXOkg8vJ zH>GX@;{h392`>Gv6IT{%y`mD;O}UYZRoQB+-SCNN>phw<#=b<=I2@Q;AH3`7vSy~A z(B0y&=#SO{B@=-|{i`X+X5hCUjz;#KA>SyoY@hOi@HGbK)M*cA2g>YWhK1?M)1;Qs z@U11!<5pf;FB;Qx+5B%Ayoc>31u9h1x5p1-%G`(T z622cW3aB-+*UuXUOIe+3%_fwxhn3DMe0N!$FDJg|Nds+p)V9bL9xt(F6*A>TwU_CCQ>@1SJ2d^QnuRQ=89TY*eP~Z zldE8eFPW)woN!@3@Z>I~i>GzGjoeEmxLM~Zbv9w$$pRKYq*qu{q*C5J#+_gThUTnt zG-7Od;>k>XR3nj8v@CDDO73x+IY4?pYZu{ zP(t8bFfgc;ZM3lejJT|2xlZu@?t9004&k8E83BrWw0FLvgl@X5vqS~2%-TOrB@&TK zc!y^eI7+m~=WXiEs7HH{Na$)xPy*KWLcr>%g5#Rq;V=uyeJEWk9{q^fs<0Pd;u+(! z81p!+6th)qHKXq^TLgR?n}5e|Ab5D7zLPbiwBQj*a6@~WHx77tiFqo)?-~8b^6qs& zL&7`yrTFTbhn+jZZC)0?g!c!D_Pc%G@R#C0ok<}7Q&lC}!;bLp@q{_x zcYxK5@P->`mGDMBI{ZmVpZn0C;AWiX5O|-TsZe-IukhPvc0nlt7;L8VEcIGUON)IA z?}uXv@15cS`&rMUVucpsKLa|Jn5!bV;riQ)=3QPTzoY|#vu8Fk6`{|=Vj8dI7E-<1 zwaYVteEn+q{H6!WD0R;z-BHzQ^+kEjx`Lqg4-Ss2HQO<+o1YA{q{S_*f**=1e;;F% z)Kl=$z)Bzfu;%ha7Z9v-SFni>Up7-X*p&cVP}6Q*mM^*5c+c;G^w{!r^p0BEsQZT8F_6dKu1P{`IfSr(w!0zGMyjj1NH`{;KxVZr4`owXGO_9hp&b z$2~dN?JizmpH%N;`!hDDu#3)?b1hCZtv-SRJFZA>#WUY*&Yp$a8m7w12&JXHA6FLk zs*F!f(phG)vgJ?eoo6atKHv@=*u`X>q3BE1(xQ2WQ+Teg+{GOi!C73b>v`6~W*)w% zNF90IH)^=fOuWR^^u`Qv25Js*6xbhqq&&h;PPX?AvsdT)Xi-o_Idn0+)Z=@3T4{eH zfuqiU+-$u1OZKJXa->P$Xth2CzoHTZtKWH@gxq1cnPp$UJl3>16IrHkVr~7xnfp#& z|E5c>c_;CAPX0v0?%H_zkL{(6+6rq$3d*A>(#K=%js^69*a(M0F~6_RD^$p=m+H@y z`NoH);Q9(@rJ$#g;X#!36%WOM1<-ZT8`P1Of(zx*h1v}P-P={r2O3|w9pN2izUTF% zR`R!WeGYA;JN3hs=61$iH8YgD0hYVr&zc__E7voT1%gnlPM7rO_1?^^wm7iPqIvYU zy0X1Pb=5W82`us)T6U?W=+%fM^0$@w9Mx;7b-5nP7*N39D+o?Bz$80yvo*MMsvmL6 zNsO1i;~$uP8s~D4);!3x-}J(ze)x6tE|ieEjMuAfm`Zo6uLyO*SDdTD5?8t7QHGg? z==C&ti)V^{Co4qX@N3>Q2Y{c9X0)>ib4tej!LEaRPFj8VfC{m29%T*SA_!= zI1DCV@26{Csh<80RLUkrHVOXOa`rh)68p5kEpo*JjUUO341}+nyRg`%ePoBvOg2>u zj4R}si?0^9D?}whocdchv(ju*`Q6zrR{igb@=R~p(&%P#Tm!m&=!k_K?NVRX2Vf>V zO*@C-+Y;|E9FFXt_a)ODOYelN;6kMz@Lmb}q<_$*sAB$VGfG`I*F@XbQwr!cM@F`< z`${`;5D@b9-m_2N{#rdekN0%Uw{J?FU=2$`4&DKsh*`1g1s|SOJm0+Ix-KoGYLUOC^^<|b?WKz33t4LN%1?*ZKcKKJO?eCBag(`f3c zF$5r&#q)~K(-isu+l9kzb&K5v{mlF+V&^7tPZj|{Wr@hwb)Y;m+9!fs+v<0t@9Nku zstAdt=)kpzo!TXy{F@m+v%7b2!hJE5Tw)g=hR!}3Jcs6YNloYt3pz|!$EaYB{_gC3 z!7Hiy-eN}Pu>aliaE~y8(8+1{n%K+DWR^Hd>8v>F<6$zF!-trRJYR+Tdi>qyiDm*< zr)^eeo=3v!s;P_BB5Y({o=CObbA5TAsOY_QDfiVWrPo%Xm$>JJeYaB#d{_cP@t*x^ z6A15XJF(8&Xreunx9Dhky<0mC(Aat)`9K^L;Y&nOl`h?Y{dUX}TGO6es{U}BNn3s# z)>6^C=c)^bQ(e7Ke5RL~>G1=n`v~sNUvfP@@i2tFp8XFtRZk2SX?#yatpqPPq}vkS zox1LCwGr5+7t>p7+CMvPpZIzL-3O9$q{0pcwW>xs%e`;Pi7e?1`6!924v!~FxH6;b z?5WSP^&aZTy-`OWy&fYDu`87`+TT};4KlvxP}nnilPPEHpYt%SDui5c? z-|T4D(E1LX;952Wel-ENo$3*BxQXatLh*E8T)1Jz&g)Fm5yY&ldN}5FTpjL!KpVx5 z-W+|soFw&o2;B1DIcZp=laJb8DxRdX6ZEQkE%kmmZtCcd7qrc4E!z()lv=S8c(@^a zXD3edibL*gIs0BTKRz^>i3Li|JdPo0@R3`sTMr{`FJsdDeI;eo{aB^8@?v;l5QFa& zX=|C_gLngHB+-LYrOT@pkzMD*>%ua&Ow&ds>3&T?y}SXu{uw#1`*ibG2Q7EYE^m%2 z-x9HaX?!}`^62MNiO)O1bzYp%Zh~E}%jsWwD|mV3M?k4-W(_iImp_7EA`4Y9&u-^C zI}QS>vU$Csqtqt>yduGBx>IEO|{qimJTYgyRuLlQ6N7c zS8@>E<<4#%U37n4+Z&b@*&`~ZB-=qrCSHFfzNt9K_}@5ie+gcHNMGEX?5zKj0{5Rq z{QgfVaGd|J#Quu{_s;@;|3!iO?}hOG@1?-~apeC^f%~&|;s42UeY!F+6wUS>cUAi! zu*7>Gun_-D-61~|m>}JsusyI}ptVtF1E2|?@8rZ|(Ym~3RmYsv)J^vg(hNt{(TvY8 zE>gG8UU&B1JYKSW+g?uxvfu7*7H;oi1Uri(5K5o#wBGKtNgs~&eZ4&&o{l#3U+*sm zE{miN=003K-u|$uBkK0G`AzVdM&u<7Zje=eyO<(VAOHd}m*MkY0Q-S&u ziHdkUda?NQ>NiKyw#OW0``JQNmgj|JrcMjRI>fnZzc{nv5aO0Uw}Txc7ZX(~rvp5je<%4BFVC_W{hUqnj%- zYGtS)w7B#x6`$aGwy`60BXTq;HH$5V-1`pvzs_TC>BobbUkOD@o^Yg)UbK7)#wc5m z69hG&gNy*iRCm{0c3k*fIy?E9cT&HCWtsq}N-BA6= zR-TBC3}OdG4P2P|{(aDU6|v8>9L4{OS1oy`kLAT@KhN_eDa6*KOO4D%@OBA|YuFaa zf($=MVzpukf4tCZ2xrh{j7#9amWm(_rzjZx6!&?P%mTvr%eybHanfV%b-|xRzLOX< zOLFPDVoJgF`DQv)J`gFt6V(GV4)^gVVDG}iifzb;2HYgko@H&P6B!iw@`(W-wzyu> zulN&L5C_N-)- zO;$>qXd=l<5jx_7tY!A%pN6MxCnN0*b91oXOXqJMX{jWD)gypu>3~<;7>K|B3}-~r zXA}q(7=RUBQ;q$D1uFt6?UE61b{Oe9d~=}kr@9hV6;=UKS4BkQPZ9{3emKIWyVgO| z#lfroia0do&Yf`fckfW6g-|!qjDxi%5M%dK!MjhfNe_K$Hbe(VV9RlrBl?sG=M8yP znqds>EJ6#72|sEdg?P-7M2;X;j2hYIsNs0-{>gI2BAt@mXKdpj+-t?N=hL+!+8rvbJ-9goZdSkN#vGd^)0&+Q~`Sq?HMa0mRcOr!$ zBP1gX(*25J#LH)c@T3z_>(h>6=AmVZ}OVB^Ui}#E*j7i-}E=u}LPT ztaDtrJP7AeJ+zM=wxk5h7*ZC^`#EcKTph9Kodeuu^x-xc@WZ)o<`A9}J(Nqd%GTKzi zG)3!g#%vt+zQc1qO|>Hiu`xFuNg`D5@MPv^>KQ z!1=S}QThPqu$~lMSsgBn8brJJmt|uDuxzA(v2fQ@fHZ-M86+^uHX8g-2v;cXGOYf} zW3~;I@G{H`rf2mq%-FV2x%TacHhWP}!%#_p6g!kCmVq>DsD^1Qy2_)2e8tpwv_Ud> zP>7iO2x}lg5tdwa>hD=#u<)ok=Go$VQ}5$&^LKx;QWpn;#;KWZ_=O6)8s&e?@37K- zS+-$CZ@>fMngW^jC#;BuP@<@&GriW9rhzXA8e?J{{kVzW*bZ67KPYF|Z%Q9msuXQe zV8E3xQI4ifHw@9kkzq*opPGznlV8pE@&)L^e2r@q->pc!x5&>nppqYTQ6KG9Ab+9g z1cYrU2JsB%<=HD{>&9b%zP6#hKT~bxWU<1JeHest#{GF5+D3`%In3@y0jYsOG8!kF z^R-V({ike33Z?pG?*#qJu@A!ow_L2Nd_JeVPM8HkA^alV=YlUw0#Y!j4q|>l8bDQR z#=&D&#>$$0qH;?WtvpQ`JU(J=CL5b4DhQ;J?IJSEqM&J|r9r3I%IESZ(trAgXd{XG z{Mndz2+_ek4$&Y=Ie~fzZ2nX%K(`PI9yA3f4`zsP%eB>OonZQf05{SYFIW;Z{T@}U zKVH@puucd_3T04y6Jm4N*x#cN9{MIv{qWsRib}B;K8=4|MH~iwRGi%tRV)K=s}b{4 z2E|sjIR$MTc)7haNS8{{7-Gnn^G~2i!0qDzs*R*wUiO!O;h#N9>uJ*(`E>LcIMC)z ziHTjKlMMJ6&DBb97}e<#MxInAgzP_r<%AEYB}7E(II>gR$pS3OGdbYOg&o663z;s9p~DkI^l z=;vCQtc3Rf4kS(q!%(LQou;shA6?-x&2E%37RlKDhikJ7aBac}$Z&f^XB0M= z1!NlsB&YtOO8sR_B{W^IRQxI6GoEt#G$v+=9BaLSI8Zs>UNTlk3PVsiEdUr^Yk=u7 z&K_Ltl7UzT$u~TOKjSj3K_qD+`w67wv^giGq06ROtWQW7op1{T{I_^0H1m#KAkazy z_Hpn^rXqFZ1M!FkD&#ncV9EqzE~!G_wc>`XGg3}`L?AXPgrVeF4X+&~C!^>ZYM#k{ zD@F25(_nr6#gW8_kwPA*3L0ikDTYOYPO)q*3?lR_J^7qMiQBrz>__os;B*qv`8ay) zN9+|GCyM!GL@tgJbcC9e-;+z*=G-_DmHO zpJS1^0wMBFgT={`41}evl_UFrMa3Wn0Evxgz%MFgGBS07)WPgpJU~vuA zJEZ_YdSIyIevk?lFe$clts2V(UShO_$*9 zkJ4a)6p-fPf>Nf6O-4c4DPZ99iFNC-La3FoKr$Xxgd*oq)5O#>X()Z@N=G|p4Ln8| zvNIOQwJ&vc8_rUFdx& z$opldQ=dh+v#-;~-P6DG_4-i(VB}0xbw2Ox+;z^LW!kcM$#l%E+H)6V@uKRD5vn`X z6G6E5uU_Eq$kTKD*h3Cdccd=PVY)$Fz}S^1a5PK%!^ugQzlxMv*wC)F(t$)&mY$zS zTW_3<;;Zcm`eIeQ)Xyx;dNi`Asyj?Vm5g>u$!C@>jbBHrKataT+;whryGU?J$?tl* zjZ|Bth-iWmf(;rl%VsL5^4dLqFbq5%D!@Np3jE!79JaI*p{kI{ug^J1RN+wgY|(85 zv%{n2vAg&!=d$}hXWNZe^1-6EgcQb9{NxNNYYga3oMw~VT@XBvf} z#oe{IyE|-(6e;fRR@~i+Lvgo_6?b=ccXuzY#jR)4`?=S1-tU|r=jXT9MKYO8lF3Zu zB73fhRBA8T-oZF4a0tVXnTQf*CZB_F;bk3tawzqKBc7lT;4oEz;0%LE1gPe?f|> z*)|52(~l32lBO-S#iR9zhwN?yE*!pyMw~EUY#bZWuLS{?wk9yukuEN=snVi8m~3gN zTN&owPWkILEk(_ebOBZxY5}&x2bNeGO4us|_HznC;KMKU?{P(G=4ELb%7?d5)lNJ~ zO=E#4bedRd*>()r@|MVRoDg%GsP)v~^$p@@@}C(7sqfF}9}*kl7FWOOTGPdZnxfPs zB9a<6!7LLCCxyo#ZfN7QJIH@Q#hs&tR>1(Lh~14Jb*dSf>gMw?rL%o+BkmLxa2GDQ!>vgHI1UH-B$;y1-rH45kZ%lU2W8;K5yXCxFEcr} zI~pxsU4=`PMV1C;Ov|0TL|(6}AuXDR89h^#`)VsX36|gKjEoh9z-uWB7Cc>XOvL9E6F9rc_&(;d>2kSPIUK5MhbH!7OzkAx8mX z0#7&&_tn9H(J-HHG#^46&M&KZgkezuq#5;gc;01Zrp!7VQZKG?~r=?FhfiOg?I+h%t67akbM%al+`T(Tz<*{%n6S3Z7J~u3@e_KTT zp69l06!lMms^~K@Y6MHRry`xdje~uU)`29L*fWy1zht4V^z?B*GWtkN6YFi&U~^y1 z_s$UL!+d?Q37XyI^ITkRXz(gih=cFt=edMDREHBhC}@D=37)cFU>@Hsu5c@V zdmVg&fhF=j_@pT0$5VJilN(*(h!hz39+uuXt?%a=O`tCR@?&7)rjq8SLOBSGb^Ks2 zivpaj(6ZQ$b@aagek9q>y#V&o3h1~#9;Q0>mHQngZvnZtff7J;@rkfL9t8&wJvt>2 zJ=C#hh{uncN@4=`{x42!Lek%HCeRVhUKWps3iW_|m`Bo17HB6+J`qNCAi4vj=Al|2 zeaGSPK-;ESZRS3f_>&y5y5E~|CNRNfO1!@W4F{} zepww6k{*p>NQ7FnAOQ&}2M{xXCd!=|7l)~iM*M!r@VsQTes1I%h(_wwmyX9`HFucH z@>k&>z!qBIVCGft6`rRDJ*Y%SnfM+;!N~jJiKZ6_0G#5~Bt7D7HK@G{5dh6a?=q5Y zGBEw}((K+;Z+82e>4$&Efao^aN=xtHFBl5ZhtS5x`YL>Hr<`YXksfidE=@Hdq1tH9 zXu!f~vZhaew>SiGcAv<2yq)V?5K*Ky;9L{;MRar&!0ICx69$^1q3l!AqYG zW&#%dUE=n8@4_cPfavBi=y{iT#JlkQ_keaxe{p+2YHlhSZji+DV&8=y2SkTF=!1Qi zIJd*Q@Z%hJ+W+EW9pkou{M$fjV7&Oma37C`gDe^_o)Q=z>NqpR6UI#?IUxzsB^pp9 z`Ff+y`at^CLfODG7{3dn-V6<&ykdgt3XuUOw2#Z+b%mf z2MDWrKdER4u+WZD`Ihr*dpl8N0N%9nS)e1meG~!DAliZYFprpBRH|JR$pj180nW}R zGM@S>)+jKrX5O?DNw?N1^=Be4mVJ{~cLC@f$u6)-o<@n(o8jayu5qS=g;A+TI*pyOhnZd*|INY7wHh2M6=itzNJGmbPi z96sS5akX)p{VgNR3rzmx)3_!xyG82`E1oX5}7mOkRNWV`u z!4j?d(*(aBl9h$~mpACI{Ht90lpkiQ>KYGA3*jt4F(LzR{MmdcBGfMIt-xsOZTxrj zE;M$lYCW9)t6%udopzjeZ8`fQgiMPs6@GWBM~ukShbW=TGyGt!udf~r=m9`3S>WRc zpn)-aFnG0@eH3Z?(lgQ{PL@{kKlC#QGck=mG2O@GvG+*^m`ic6R*OoJX$b1UyB6gB ztA(Whix!sUF>Lp%Sf7{fl~B{{-c>{Pt|bcYLR$nQx4lnmf65!42JFHiz@>j|0b4nP z`$qv~^j^|y%I1xkKQDl@Tn{we8IJ1x5Gz}QmqR>F(Z~%mT|6-QH~x<2D_hve_|-L+ zEDlQfO4tHVOFV@{bBC}|f9ZLP{6LHJB|p>>+{Xjr@6+%T%_rHxZYD9Hf##P^n~|Aw z$aiq_kt<>AeJ|PMJOO|fmrHHLrE3s5*tBOik1IhFYP43(^;x*QF21hp4+C{`(rO_? z?;m|!bzLaowmlEy;qosoX1*$xuk>x4@K4}`X$bI7^jJjz$q$090wyy3N+z(s^k?! zS|XH>)zjw~ahe@OtErZeQ!>zGwOW5);OtIP4;E_I%CY8T_N8qz7ZC8W^72Du`1)dJ zT4xA$@@96Y2@Ii+f)0_q^MT1V^Ot^!R^u_{!ISR9ebsmXLO+zk`OD%DflDI2=~po8 z$>lpTlJuA$FB}vjijm6}q6R6|%n8&8^jaIQqqhZ1at>JM2(C(W@SwCxj(yZMN9iPV^Hy*whj4!S&dz=p5~0 zcY@CQ@8_Gf1&b`5ZGKJ~`qeZyP6!ztmv^T=YX#J6@3+5|yeW2%6M?m}f#3cR-Bgli zdU-Ahns1qn|K5`!DKDf%i2<*nNu6EUO6Qi9`vdUP?)kD%msJzH*Vz}V+(Tk=oo0v!!G=SSbgV?nM(Ct|6SmZ^>(Xt^BZ2|I6FV?|1k(6ndO?qfRPZlX zj*J)7wpUEw$y;fTr&A+{i61zU3Vn7_JfM?Z$yT%FTMdstMy8WBHDe4y$zVJGdFse# zKAsq-yk$K0!u0b)r(<2qSYKr$dSwn=v+#+*MMOy5`OJ+T)|v~}8dA_3S<}{?1%<6m;SBCW`|rK6v)l8W<_xL(t?20n6Z1-EoN?tnf}Bvgl(EVRzYR@IgFP)vVN z`(x`@^APoq=^zs2>)Z-Iot(P&knSwtFZ>?n))|%gjUNmz(mo0;c2y{>yoZT*@1gu( zSYd@_p{rxDpQ17cU3aq|VY=LHHahng`cCi4Sp2kZM#pi_|B&PaTzr@e!< z+h^INsB5(Q4N5i6J|H3z5CN38vAQ=Nelf8yN_QMI9`s{pLhI-ZTE4i>v3SK&j^bkM z4BxbN{j3O1C#bz~FMV=7ZnXtPom+cVmq5z7Q6{I(E~3G^R|v~t74z%wLCaOk-SYDW zrUaKZf`WNebyq%&f3QY1=Pn2w1HH}OCJ9(dIqZ%14c@)Z?f82!%d3w5%Mc4HjC7`K3 z)&dlNys5W0cfE#*ubfx-21=u!)U%j5UgLyQT9S>O@A+Z%+29(uG$Pl%xR3JcyFQ~x ztu|JE1R<)e?VsNfFj|VPWq%RtZhsLdTEid;&c8(5GuJb#RsPAhXNK5O0zN^gD zMex?u5bhRd5UDrX#K2-+c}EHr)7gOzw02I+C#R-wjzu|tTH-@+4l5CEhK5BDPSggt z_V!Srt}NGidE7%up1;~AMPAoKcvt9g2|mW0(Uy~ijq=@b<~bi!vSp4uDjxp9sq0vf z1=W##o4Ae4;|`;#m)aQ{FP?o{Z!V=e;s2hIuBK7U#W(eJ46(Fq?@ma~a6v4mJ&vfT z&Q@KUvJqKxCB6aCLq*AQe0`cnST?bRvLYs1aK+BdT9QN~G{&SlYN=myyJBLE> zpw)GLN3Q*$1*XwRGH}A;c4OWm-?oM`$OEqznjp)E`W11pW%BJy^Z3^;?$PO#@t@US z-OwAtDeb*A@dp*p!Z-o1R=>*xh?G4S3O*`(#zo6@5<4zrMwbZC&BB)mG)G`$zGAFs zcmhi9v~lkt^eiT8i84Dn?}}jl#JQ=oF?58J6XmLB#$H8w z!(l0IEL^?7Gsg(>aHxD*$2#9&r?}Vy>r{j1LD&5djM}eKmkXl-5TwN2lVSXb=X}Jm zMzt4uf2*3_+&YmcR4B{S+0o9er%!o_G=zW$ z(5J^@tXP_4)P9)|)PD(d92_L#^SH=&zaWe?->~B_N7R#reKkc5scZZGdtzFYDXrUO z87IDIr?xf{Up2n0xo*q_p3;4PH|!ojT2fbpxjp?VpIW-W<>q{*0GGZu@>X7JP|Ys0 zI|e3G7crvw&2Om=$B>Ne8;*Ao@R+YgENBKfgKo=Aux<|7S|Zy_(CJRZOz>vwF-9$4 za0<(eb6VxD@KGLHDxF4Y20YCIw>(c36ZEsGl`&N0@0`Qqou+9M&Qq7S{Xbr@Z4BST z-LHfv>(&cdpaCj%2FW>)ivo3{p`(Qb8|kC{b|#~YWIv*-2v7_qO8wXm-o-eU?_vh#S z9-;;A=mn{F*|>^WZ`RF4l$5kuj3r?$_fxoW+r^_vuQ7Unus`RoG1|MY**?_Y&K275 zpK@sLYyxspRm)d}OMmEu6LYc#U_Ixc^t>VCXS`0`WpM#trAXPgR6J4e2DaPF1oaJyTI8 z!dWtotnEGl~S_}Bx~*6h+phvBU`fl|XFwfGajy{|99 z-X2fcuZ83tPs>%8T3Q_SH5i@5)9r5gC z$q3hxld3%g^Q7V)fd`>-&Mz?x!ONe!&bpd#7&5*ULp zq$AG1XW@IFVZ+DRlqv=P8Tty91hSm zskTJz6EmG+&S72AF|5B>f3x)y?37sp0=e`(E?Tb^ zVb!U4>#ifD#i*2HW8L`ySoMV~zIskRPft~zGL5VicQ0CpK>&B1&t`2xDCWvL$KeciNA%FE^3G7`$N3oz@=Jn~vR@TO${IgokrXB=A(UoZQOZVoKLf&q)1e&o)r(>(FENQvKno1bbj3V zi`2^B>|b<=bB~IWlPpIXl7cmH1(j+u>Tj#Bx0!Ovl3c(IqQxmllx&Oc%MXUxsdm0mHXe>4eqIKV1yu=Pq9UZKWb2-;s-G_#?k_8XOzjX`j?O7)bjtd?> z8CpJ)8lnfEgv)fT2H(QS)B_1Cm|Qet|87%~X$2?Y)^OO4NLd?^1<@Q{D^Yr~X#n%5 z6ZSJAnb~HfZ}{7$Dw}d7$HrG@6u5k!*%%D%-YtXPx46OZxDIla(?QlW0Cv4xnr`0F z*+f5(-FxOHe%i@kM7;>TBZ;vs-2h>gFo~)!i5EkbM{sPMPovWY4*=hUd zWPWsf0k*kEYk(e=OcwjAG5dP(z)+Jlwe%j%9^eggNjvtN_K;32a|2rd*5KT9%X(+9 zN8GLZ@h#)_=AyJUMSs%q*RU%AcxI4GG?mq=;ZQi^_gPRA=C_GkUurOA+y4)o_Bo zUNUYMT{v*rkzB|ME;DdCO(>kMo>h%17P1o22bZb0lI>05r6N>9e_vQ;QdWw!ziDQ? zYYI&!i*Ale#uqPb;5R-u>%d2R_8yaJ!Wju&VI>Aa%i90E5M9lQlU&6Y$fW_~6hU%Z zHpgp#SZ%_&2Qv>GHQc;MpArp+UZLk?2nM~jX|6~(X$FP!PI>~_+a+cXw%g(hvH}bE z9B(~)y{@m&T;GZ}UKr-?CW?#X;N`fN&eHY=JAzu&r+5aWmfW$Ov2Jd$2vp3c&(|1y z8>@%h3y&kGz4j@)G$`hSBG=rn&p~rXU9xhc*utSW?_g&YG~41NeSP!e3KS(}`00-L zRAzqfY$DQ{GxIK-MbyUmC{er`4CMK8D^qyCaDujq`Ud5h45lDp;te;|B4N`rA~wp6 zw^jMxIajE2Ew3l+c%4Nm%)c+8nk%m^1A*bZ$*ddYUu;~<4w{Vc+t=?Q8NWrDj#^`0 zeLW=8(<bIK!4v{ z6%Isi$WM^TPY_*IERGVN z-oRWzwn@W@3t+T<)!qnY-m&%8!nz;KvDd`@5%A&yG>JQu>v4M4Sv`^@Y}GN_QuGKM z+z5~O;vxA{^wp(}au6Z@mF_|3HJ`~!p6KI^(4Z8;v&{*91=2{Ab8o|WlAg8CeZ$0V zJj1F7hS`!ShcCcq!M;3h;Au~hSBye{FYn+irlT;9vh;=GL{Y=KIFE0$i;xp6kwqvFalh`F(ePQAC%Tw0Sa zoISG55wvkU5?V`_Z{>q14IUC&Q%5X;42yT2g>gwjUr4NcRcH8UkAeeV!4q#n2c0|X z8H!>?xAG&Z*3ZS44*XYgu;!kiDBHUF*^|vDr zZJT|%Uip_+ocj_T1HY=@8b|S1kCareeFf3svw10Js?GjbW31`Vc%MXv*;Z@4G>6vR zXH(RU+-KePz{SfCs6HJOuacYQni&!EW zwKNmmGZST>)NvK@nJl@{ggkFOFoOipUGgb!xf3>N_%^P@8}56(?vDQXm?w{c^M4wN zzneh6n?;#J~2}|G%{o|J#v&x%`i# zRL*y=vHwq2;+x^*JPtk=oj)^?8Pz&5KNww3Y~a18NMzFyah5r+S$%qv3L+JTqsbdl{Btu&^yl|qPpLQCyMJ^6jafv1j-oG+iGP|C zeE(3#$h}=ZK3zZW-zRVC`i%bJ0>dsH+kbjD5+D6DJ3{k$D4U=3$8A_=+si5uBgh8) z^@|by(+9~%$gzH*A5t^OZ8J1Qkv*G&f6n#`E&4|3qP<@(E18b3eVbE#hyT3Z zUSIr)U48kjm1^fIPz9Ns-rW#czep) z;y}^$Y&!o<+Lrdyi=lIklvCuCe)CsI4OMrz(TPOy<{!>Fs*L-EMX2OekE%g8Pr#7b zBo=%E-0nad=?GdFJ?2vkcjm{>$~9sOhD$Uh`(L%n8?{k~2!^W^=%-*g){27BN95{l zjwG@P&n3{Oqqr^%`n1OqA#YMdHNgKIEoKch7& zKYAk=z6IL9&3)zB!P|0I+t(d{z11A$o+ho=wfQyw8SM*G=Ymu^gu6S)2frE^OFb3@ z<;-*Jjc$x;bl$wba&_$1HQY*C-?b>o*nC}09J(8W5`JYM0i*;Uj4dwB@CsCX1Yel- zX>5-`1eX_A3})2@jxDzfF%@z-ueNPq;(}N+d=qdG6SjqW&Hrczmh5Oo!X?5O=_AJM za8&A?IXN3;b=0~<3Pzmqpqk=(h%A~ZtN7t((=o%@Z)V+P@_1+DXewsas(hUN59~{l zIFg0XN-%6Rb!RF4HNt_Fd0_DimgkrdY|E>4_NSOVK~A&?g9wK8N1A z7k#Y`jIGO6?~$%i?@_ZbvZ;;lM(Cgz<8Vhn{l%GjGhJotJtM-6LaAt>W#JO!kN3<5 zf$EQ0)esmvmbx!L8>U-K{>*tm;jYS(D9Rk)ZW`f3&L_sYoTCQAHoSsnT3yCqpc*Pk z?Ki)kZ1&dO7#Ie$bg4$!`CNc@r)x>Bl!=d8*Q|TH)Qm<<#Vp2}7mFYn12!WPkxCvE zfh7X!rg)7JIZMn?V?^p6XFW_eWpF)(7X>5)%??{3PcBVhYM!0`7@V_1{X<@PJv7zq z)+(vOfJ0SM;d<~%V7VBmuu_pB?=nj~NrW+sFuk;4P8i}HW=&|Ag%um^wCS8tI;2v% zJu8Ka(vN9-hYzv+I>Kec)iQ>tFjOcNqoapfWZssBc*9Y#KrhQY+i~Ne@n8!H7d(fL`6?7Euk`MnN zOm9FML?fH#Z@5FABrO@AA-S@Awo>D$3UWqQ7a323YKlf#1F4uAW#WY~`sstHE z4^_}JNCU5uIKwjqp!Xh$qa4>IV!?Ls5^B<|7I{C{gSR;+X&0U7GumxdWss#2^y_qQAi^xVrgS+%dwbu%_HIlR|ktssizxzv6?E*oj!auG_C$f(}zcRrGoIB#1aqk zLdTc$quMB)jHXWvNyx|m=PCmJXpEU1m&`n*ESM$Usxbb%)KDL7l|Qg`SqCjr)hL~z z-tu#_waa}@3U{h7q5p6ru{XZ>y*qplto^k-I~y$evUGPZ{WeLD#LsDeP?K;~>OG

W z;8IbE9)bMN@nkZ`DMT78`v-FWI7^YpVK6|$r>b6;{i_Hb9)mhZ`NIM9)s!qSiB~5| zsqbB8^aSXsr1ANt-6_)QTrtJQcp0L^eP{z|CJ^L+KuT?F7p@ps_ArhSPnw0Inj|iz zYX^jnk|`1k4GX7+&X*s}y^PWPP^54qP4XHdPD+TNl-Moct?`L;P(O;gMC3)1EwjYo zm88hJNE?sL){(upt1n2s!wonFgiwsdT3A^n9Z-AaBPzB_GR^FEYX!EkNmr`yh=bHWT53W~!KC z7?_~~E|i2`KqvtdND~+hnvR>tTB5* z&BlS2K0`?Jb?M;sezV<=I}R;`-l-K*G`Q{e8oLW2qqO4oHQb9vu>f9%8fmx&Hg&OI zjoUiRol9*}>|fIHuT@2ha2736|Vkp zEp2iSp&MbjuBP)sLh24T{6CMMwD??XE4pzh@5>l)Hx{M2u2rxy?W|}xmO-1pllO$q zd|Z4=9(dAa3JaubD2<*jq~&?>zRdj+#>|K4vrH%G`#qZAHKoz?^Q+-Pips?3(@fu% z08d3-s8&x4_gMj!<~sG;sq@E2r1NQ7ifg%#=}2EG)I5wYyq0vUM($9P9;QnEI7CBA zl_`1;(>7n9(Jefkjj}>m97pYV#6_&RBmudaR~X+yM&n8YJTfDYR%8=e1AOX4n-PbS zXmbM^9QV(&T~p{>=Y5;BQ_a%p&ZeU$_zcZ9%m~IJOXt!kT@Cr9RKsV_NiuvI>6>{A zDTlQtKe6{=ZD;yM-yp1nk z4X9-7Ksz}WY`%MvMRu2(Ml(#KBTCzF?bb4Pct$BiobaRm&@28wcO;(*JoE3bkDMrgW zFF1GDWD^v!G@<5d6T^wJ7i983l>*>K<(=so0!sEpJN&P2&l0>Cun7{VEjP35O)zbp zTPMDlHhj5la9DOCC_;GfI^{j6sp>yWjI?^zvmsDAvDNvtWyS>X065R+&I6SzGZtsq2 z?J#(0;`TyknQsR;YdvI-VF8v@;fdyQf{>3FdZ3+cL{P2Gw|oEgfyS%m6I_;d!R4^E z5OUV3E?icb-0^n&EyQ)={^hXA;r8sv%DtK9gZ_GjW9)Jd^r{6(0Iz&%FQ}I7S*z|# zTA)xVGF7P0BCyS5S&q%7@VLO?SE+&dcV6F@`m zF9hm|xyjix8-rJs%_1)Mdu8&_v>$ISVGVE18}uI{IDNi6AYfZ31WK$OXA^9;%a7_O zTUE^BjZA*J{}KyyGCXpWZ4=gZ$l~gOtWXqc_XHU)(?ly`<~ql#e0?n5fI+K&e~G5u z9R2gKPmZNDK8@l_zErB0P@t^x5UTH*CB-@~>V}DCgXH3F55-u&R)oC9Qm);88gPhn z(QMR)O%QOxr*icdC#~}1Piff-%Nz`vkQ2g*v(cbF8XKNNkx_}B#b6Z)un*zfu~!AY z+(^CWthTBRrWct2{Y#%HE{lSgGzKcV$zM=ZI^i#f`i=#G(P9XU(YYicyaiABE(rKN zqq|#xW1L_$lI>qnvG;pjI4s1ZP{w`VBXCeqKe8Hr7DEUP1;=F}CW8`vN5-Ij6n_Ul z4@n(#M}ecVFuxP{u^4_9Mfen*vQ0~ha~?A7?k<&alKsR(MwG1VN&L~$1LPJzaBedh zm{zJB_;#A@(K1gXFl9X7k)w(i04JYVu^QQ)n|MG%U9!0$I4@A5gDRr1IJ6;P zdfUA@uD)rHqay{!6wT#1_F6^-gl?CtfCpG&j6-driM6yj&FvO}!Q~;;o#c{z6v;{O z%U7q3RAe?mp-y8BEeKJ9at6A52A}tfx>1KOrp@kG#$5L=;avGyQZn09Lc6k4k~Rfp z&Qb9tiMbVEN|C)qJ44+9mwgGU!wb_n8(JMIleFv`VozACVHp@)4N_g>uKrE|u?p&@h)xlkBZ!$Cf26fNP*`nZxUg4I*h{=5fGK`L>%4gU#USY>&{o6CrHg8okigX%lOco`UV~Et#}rfD z^r^oiH~|itV;4U4d4J5Kk&BB%s$sr);f!{X$@X@uRdD|->-wz+ZPaW_jLGG|dsAh5 z^}IsROTfq1Rg%byB66d5jAuykj*j<`0($D1)8Sy9wa}Z|mtvbI;>DzpDjqg`@C7Le zI3!RP%LCNqn)w;)uGD^O@r=)1i z`~Fn7osFeA;a-0dwW`xb>rf;!aiJ*r`v0H}WYML(}N5;?OJ)&X$ z<{?5f(aqbM(3@5mx?I0(zIv*TMt!es%9r9>dwv$DxSg(Yuy;#H#q|1=If!34S_+4} zUat3PoR3Xq^EZD;tY_HPF^hoD!rrFSImeJNZP~v9o4^PAz zPwZjyAKZ)!HeOdobQsS3xQ~K^OY6(P`-po`E+~GvLzue9&E|WwhN#3Q$}~RRXwwR) zDM&kDeGU##v>RZGnIQJb5-M|b*xKu6ywkX|1-ZF4H<_IczTCJ^Wg_(T7x)#kF|i%Tbt}G>$Z3^Til;6%w(D! z{L>!yZN?K$+U}#g%oY3Z)3MzaB%PU9jvu!i$GD&sbK{T!@zY$V#-0E-+17))=k~&N zWWHIOA(9qu*RXv=7`ICncOz;Wb#q~AB1CaW4|_iBeZY@Iy&wFR$MoqBTz5Vs24D7G zTXuCTwKs6PD8yi-JQjrZE|H{yHo4U!e5~k*Tv56$S0AUhOWKNUGriQ8_iFWBkxCnJ zR9SD=hI;??0nzkEs4CE(rIk`@^hM zpR1HhpDYhZfJfUd)>kdUxF?X~76!54W<9p-U*OatHn*VPNS>eEn%gXB7GW|2Za(Ej z^Gb-MO4bWPAMr>K*~H9TX+4H_>?3g}&JF^D+D=$jBBXb~=E}8e*S~Y7sGDgR zFqon$9RNVuA^q}1XnjpmB(1;jd!L@?le!rU+LoMc6aUUn*&czW`etUBOx0zD-#eCa7;puMkY2+#GD)~jBK1-AjCTt?-&LaZf-_)E=~|i z#K2C%#N6zg)87(GPA1l>paP8StUT|z@2rDv5Hn#V=KS|+G-8k(-uw3#@juVfS%_I! z*;wAo{d>0l|LTFo-CY}BJjqw3k=!PN9=?jDAP8ay;{!?9Gt|cq_G!;mVBjD8s!$4% ztp=+J2Dt%YMqUt9l(L^zB`J*zqvKDPnmLD0&1xk%<1>udYU4A8tJ70AnU*uE%T4u? zHE|BoHhq5Go5i#~JI|Mv+;?2edseQ%kOlHjyNHr0E5yfO#DFfGVR+tNSu)Bv#LCEg zK{=d6g?p@9v^r)L)OEnhsTU04)L~+OK>AuihJgom@nZvg&<*HZkG2ty+M}SVRY*fT z|NE2hiDtI1X%{;nkX9bE%@_7!EZe+`AN@uYN!Xwi1q~im=As`u7R4P-4u*qSB=khG zGoYn7F;jR%oIJZpuv?tk^y*^D4KTI?iCw}lX(o?kh;;$8X;NA>Kn5?E9B{d_Ln`bq zu`Sm$9|NXvXylfKA?@El2xH#IY9A;~|C|DFF=JZyIsocl-<`_~AzjKaF~V(Nuzth* zLF^?d`vkvbQ<6{2aUPE0(&BgW>L2z6X zy*u{=(~`QA|EuE68&3ljq#_cS0v{n@D2pamjpCy(V&F8*hj+eWq@NI?q@a1RV$ZjX$4m*IpcD zb7PvlrHET@V?R4RV@0eXwxs%T;e5%NYh964^V2=2DkO0+FOqRNqQYwBSon>1F_1OM z&SlO~@~}|7_9>s0qrSri-xDze!SdR8+dx`#h20arvJ!DJG)l7;Zx_Zy_f7SVfCL>= zMQ61xrw@gxvYf!CxF{kl9?IaDiH@9B#UM(o-Gbu8E)&zeQlnhe4Ev;B$S+jWSV&}o zlyHcMgl9pYhas1Ol~o^l#DH|txgrqt~( zr}EYA)+$@GODb2L*VYIKBID--1a?DO#vjb=i4d%NoShE{Ti6!= zh;(KahS#P(#X1wI0Ir`?o7lMg`u z+vQVuz(<^r;jf6;$l_l@#wH4m@Q%5xTGnRQXEKx3lRkb{gSveW8 z7MS#20s+V;g~a63j#UsXzz(&SuGS)qXA>2gl1KYbL$ARr~N8zcB=D^H0^7*ph7D?MkRX4w&q(Ml5Um2q`Rjov2)hUUN0D!nl|Aet0R6Y3lZMbiuMI6Kt!j`9QZ< zTv}91wIp78b@)(EbjBYru0!ky9$oq2s7r|7t+pq+)-rpwVl6EWEII#*7Rl&UhcEO{ zBpQnLH)m2|;^4q;-O9=W&oj!vaLdBNG*@B6?$NL>@a)v-Jch2OHoQej>PNVBK(NEp zqR*LqP&JMBYbEEvz|M4amR0plVcwRJ_$5@^E09-Wa@nzdqtBzzoHbLoMBxig5~!{S`@%4XL~v+WTJ)t;~$)Ab4*g!fHMYm$2ATi?R5zrA_^&+Z}K6TWC!mVW}Zo7?8}T@#XVN5DLLcM3(5 zaS{erGei=RJ^7DUhCJIe$wQj_+}8r!_FGITXr7*Cl-q?-KSj*f*B1;D<3&XB^Ud2z zPMngdygqca>JJ_%fs3B!v_fI9aiKLgJ#&xmn#5R?5k4_4E{< z`L;MZ6|AW@`aR8eq?H5*LpS0^4!|)6D~phy_(>#9j*fjC3$a*~yZB+5$2D}OJfl*< z-;nns)TrENjf=9O+Fr>_L&G>wSfTv+DshKX<%8@z^4$fXZa68H1bEL>>Gt3xSxtHL zdQW@C>n%1A^V&@m8I6s#*C30t5#iZ- zcvw=ZRI~DjS}EpA39Xc#qh$@0WxS`SPlKwnFFzpbS1VX#{r=6Tr-=NAEFCTvshhgi6GIgIjaO8! z`M25eM>BQ;8e>z9Rr+);_%*h!vy+Kln{!-`xm4j>-e^alckM(Wk2x=vAZD;1Vl%He z9r|w{XF0$QTO8k~%LOt&Nhxp1ew)qbELFVtkQ!Hg^RNDw;xM0c_j@emp z#y^K!2Dzvo9=Am%^?1CB+7PHHs}0x)q7R*tHZr(Kc&#_@EG%VYcAX*}gpEqOo$+Ne z+a71dC+Ad++H!nc?tU#3@HO#RUOThHpWGpBQ_g`0*fTd+_buz`g zgc(-}b=7#d$+Oe7MRAD+1&i%Ym}Qj3G}rnx)lPoZ3)(?%b-gbl2_OI3r%Xx0HG6LKs}r9mZ?)bVS91PBG$h(Tq3?oR8}o< z@VdJjxjUV2p)z#b@%HgW9`)O4Wl+{@l3ND(&Zuq6ZcR`$-w3}H0vg;s2=iqc08><$mljmD~?LZQ-l`;B_+ zI$SXR523b~ZlB%!he$TUgVc%05-E3XImA)|mh<=x&Gdll;)o6Rb z0+^=&^j%!SQsT@BY1~@+VEfr^RpYIs)I8@>J*uE1E_92Fw95W;uAB~^*OLCj^qn=A zjjT*;yQA}>jRhRGjyL$?;$)`Rexy<%T`3;ETxQc{mM2lyGoe0c^zHA<$k=;)7RAtp z6lf|@8pBupZ~)OZ^kbvn$zIjtY$tt!shIm%YJr7&uJ+@F(-ZGzK51bX`eHzOA_CwbeI`-AV+ZP;EvE<~xobVBDdNSh; zPS_=bu0$rVi`rzJ4G%RV9Iq?5|MW;|B6#X!kI^51?rA*4=irrWBUK~MdwX?~(EB4v zjme&-JGy6OHn^fBL}QK_Z?cb*oD@;NzxSEq(M<||1900<7PVc+ZnQ7beI|MQG;qSS zxVU@6uA5Ht*{mLPX=81>6t>z8q|UEkM$HH@jR)R&bHLKBas98#z62i1E&TtcM5A<3q%0$qikN+|mNg-=L}Y7B zj4)%6UG_wlmTRf(NktJATI^k93%87@B!z6bibDL)J1^ag-~add{N9go<~-;7Ea#l( zJnuW7=bV|um(D0gd+h4xtqGHNLa%9%a(;+6fZxFNv+QRcRH5sSc)Q%4^$csfsmtYW zps(y3Bpo62{Iq4Iin}h2ZhMT}D?p5JFWoMad#h|VZ`S+6Pu7fQ*U_H#fR9`M87VFM ztN60Czy>mk>Urqk)WFD9Bh$*&u~_2TlhsZ%2*=(%V` zzW!B%T$9GrDpnR531=gBX?^;1xr^Wu6^$T`X16_j{m;6*qknV9TJNqm-z&8NhvT=# zdi6fYBRb zFZz}2UmvL%2UvXzRkpk|w@9C^56DTUXXt-=DR3v= z__SVgSIYbMKCVtK$KCW7cqFL~gMt$s(J$v^%_AErOiCZa^B+HJH6(#6a^sWPz>^Mf z0qFvzIN>>doV@y4(}@MWQ!0^_+motB>7A0rq64jz4?ilz&wAcwhUf_e^LR_V(^mNM zEj-*%$tPN6{-19Bo49}9_)d|Y_6FR^DZZb+H#mX!?S*v~`+~ir1g_gL`>hoV7*xHS$wn%DR6FyX6D<{kD8ZVv5{+248W&=WbPli|6G7q@!6+}rS6@5m9aZ*h9f z`&d$`ZMnT(!?>+VPX}6BJPZszhqv$_4x`mSq+ECA{W;UAkus}fSu~sXUPLK|@af~8 zY=*7ctf;?e=QUf~HM%?1g2E$sM@9aWw6%6sR2yLgX{%gHB_B^s+cm*`Yqfx&^$y#K zM5*Dm7I9^a=zFPwb7jQjUd{1}xsGq@#~5E*<%?y~PAr&6ArN+IVa^{Xo=#UZRt{aC zZk2Q+>uqxj)LgJ{eDrBVsp{G&fAyYAN=feNJACCX2k^d#8c%yaWT^10PD$J5(dR7& zhaT02i#8ITnwoI)>>2MAi@Rui-lMp#?p@}5wzN_c z!Fg`0J-l|Yp|g&TboyF}di~HH=FM4cCsNZWH4>Oxp;u&Eydpb9Qit~rW|1G2?xCNa zYGDk^jVSWe_MlmgnY+cbs(UQUpKz6|%GA;ukP)Bu^PZf0JJlZ9XIxg@%F}8uxp2Mq z;|!>SD&Amg4x(yhp9`PdWf_%lez2ObdUw)e;eC9hmwSrE*X>uM)%?=~RXq*z z!voV44P%R2zupiQbE>`*=$|7mj6aCRcPeM44Ea<<9&RGJJq=P-|CCl#N^r6 zMFEfX#dKSmU9UR1n&mNy9(E`j{dH;EgRJ}43nT@7&t>LzS~N&(eqORkXLnKYNR59S zNxP^BRav{M-B;lsV)ZMU-;YBc9oj;j<;a%Ld{n3iwYm9x zaP_;nwJ7r0lJXjf8Xj9mTT!k~>xgkmD=EEtLA7#h)%9Z1K6}$%71f*y|NS3sSk9;L zF>VBP2XwtHM<0t35`5jQL)g?`*F@%NY@CtH*~u~@*zJ>-NN;Jm-yNqPzuu90LQ8Y+ z{D76@ds{gi@#RZ3|Kgmo%(Q}@h|J(GhdNcgT_=%64YZwLW6haVwY#}O5@~7)8UAyd zX6NT>1c=D2`99YLS<@$z18O!I+s7{(-HIn~zSe3QGVd6!NIF}~v>p4}(C880{&R!( zkcq?sUevC3auffB+6HImSNZaLMu+9)F1PT!UMp;Knw6cUvo|y>FmR%ptHqJ)bu{jE zeS3SUg{zgjz^19PjR!?ae>m3LheDT*1b9EY@g@Di<;=spdtvy!}#vSHo?fmS)$85Q{*u3Pr zzn>Q$<}rIGAyRx2-_okoH%F%4bM}gkn#&T;uiZ==Tvf?R*W7xcXEP1iw?CgYgfHrL zGR!URcqE|Eqhl@bwsTyWI2a+fm@n8H&82xnWgys2N3Ey2{OjLsgZM*T*+fT8r>;IwhK1FtpeqUr}>w9Zn%0Q-TsA^<%nM}|YgKDhgUH99oW2>;@ zGseLR=WS&+`e>KR-1opxtFZ!~t_Z)`AJSu47$kQbF}N*+Z2ZGZrqnwG;q`$Kb+@iw zv8z%c*l}}xZ9_)zyu8oM1e3~~Ty-?hXv6EMd?%Ti%_rRD-Ku52NbXU)eOXb@zdiNq z)vxqH1*fBhmKnmE5OM2N1^m{@hVw*-XjWx;wh6aMXVqzq`+WCtQi)bM?c4L^JIiF? zN8x}$>s1@)g7pO=Pv#rCyRAOBPNhu*J)2E`x-gjiukQ5F6nT6yvo$^70zHbJJ%0LK z=g)w-thu5&#W}H{&bjiw!0b(C)vE9J&Bs>YKJTmz?CP7JsZZT??QMT-_MRwV{US%OHca=u#!Y4pD#SH*9nGhhbvLiUNga_2$p5+~FZWu~B>?C=ZQ1LB% zYUe2UC*vuTxti29=MtXy$J2JYY^J$@MA9{5OsibxYTa3G^@3d#5nge1pW~$wf@#z1 z#I>D7+z{2{?Zn6zCF{gn+vdeEtV{LdlA2CMi51tf1Fc8bh3|UwJvUoMs%4iHe$I3M z%Pbp*NJnx}=7CbN1h2CP^;Dm9g?t*@TENB-^GRuuMJ{D;N?Tnj&{o$j|i! zJlmV8~hd44*|y_o-^sPzXzh{6%Pz+lLM0q@L}MNv-eiMQ`0<@#w9A!wspTnLny} zV*PkhYFq_m3kG^GZn`VrMjmPOIU7~t6;hk(ut7wEqUpwef!)rW5G?v0O>83+-7((_Jry7yhi?3=E~i7s@R`Hv5Cy8X)y!akM<791aHbg8BF zwLd%X%42pXu|ls?-bqyYN_YL;Sye;S8;TdU!lb<1mSiekNmSQnw#Ui)7N3%c>p+OT z8_>8dld|K1W!*nhS5lbW8O><4!^wYLr=+gF=`UohHPgTQ^0}#%pPzV*{sUi@izMFr z7IRei(u3DM*c14!H`(n6rE5xVyi6IbL8Z0b{^y*&X<1H(+qQ>ZN?GeV|2^DpWHY?g zRrDlyqICO=*_b@?^ZcDzC2opb*!k5ng!PtUpX6`+ZO=@$|6*qz+T6m*sB7_jt-V(K zbd0aXH|tAs4_-1$xE|A*FsvF=Pj4&5W_@K7*WqBZ^NB4sS6|}9>P)ZLJvtn7?iMX{S(fIjI&+CMNtMQ>6()Xytu)K8x*&kjU6glzwub+>hKehBf?H2;SyM7gPNv< zE>$~sMwYk!pIZ5apO8duPlg9ecR1nAD}4J9Tgdk_}~}ue8!L(sOLD+eQxW4U7$%IeVh__rHalEu}J_-{u(9I+ouVCr*Dk zW}o(PB3ZM4Jh=m*EY$dpdw9(`t}wf&NTIP?)A=ag$)HX-?b3L`P1gQTMbyFuoHSh; zpD8Ly>gd12)|7Pc{@l3dhU}Y_iBl*o2&E2*Xe{AZ;`G0tuJ}E1icm1|I^oIAqiX1C zLitE2sfs};sM~u`p(;{=BYg^BCcYjZk*Vu;l8#hXMk*Ln9cdn(Okd>g10Z!t-Gfvx zWI9lpG&e`&Ze0hE5T$wg0-}i*gW*DTg%YGFBski{k+?;&Q@h}#5Kv%B*U{B9uxB6@ zmiQ40=140P(uzPJfLtjWi6i5X7#xxP9#6&~q1O@}YWx4amT(X+gjwRniJP4oZeGzp}mQOG5IOSVBavE_hvs9e$owOb>s5x*TD`|syFkW0y95)lv00+=|ey(@dv zb=_!Sx{a80iV4*dd6MSlz@&Q6y_gg#@&uS-Hv}4kbf8f@7i(-9#nqm{X8u3;gqeoP zN_RjyP)`CuVAA2-J=>M`ElvME!1Eu1o%aW}01h;442AAM^p%uWrcZfsMz*mJwk-BFun+hX(^oA;2}lqFR`Uh1C*Z zDMVN_7Vb20aUK@+!FFl_wbqaqE%^)NP>|pM@>Yr$lL_J)dvUOR81hY;8}--vVbB?n zfTh>sq5~9mm|hImP%LG;Hajx@7MUR;>VFBzT5iC

X>vT<$x#v>V029&9lIaohvM zPUse33^uZu(#_mx(2_ucXbMRG1&aT6*8mjzu3%*ENcBJ{=+PWJkO(UT8xM}VLTm^$ zTOa}rop&`xU?65tz?)LAFa!%jurLG!I0ypbB2?gE2p)#uVF)l!gYE_H5ojDj!GP*O zvuAJ6fsV+Ma8R7b1H@8{vQjY8)CPk_^#OrP*A0%n>6heL7p7l$I?IeGC!B4#BH2kw~5BjU)A4=%?MNm$M~ z0yt>j*)kjvk3n`adA`UzaEtiKOk-?MDavH#Kt{K2fgaX^c=j*76KU$p1hXRfKPI+U%-pSagHg#arzJ% zbOY>7TrQ7=bq4|Lu3JL6!ggzL60RL;~Z%d-lVoJ=2pNjX~f8okHx~sb#2*_0h7jnXmR&e)%spu-EBz)%@r5{#SqRYrp&L z-}_ekm;O@wb6@zk-+BMr?a%-A-};^RfAbr^+y1q0{O-5j|LxyuKlk~c{#^Uc@4f$< zUvEGEg`aKjKld{~`?;U_{Qc*Cw*8fF{O*7C{;z$beeY|(_FuN2Yk%c0xBu}sezpCD zufP9o>epO1e}V@1h4()H^FRCN|KiX6JD>mgpKEUVk^iIL`u6+Z{>^WEz4=6QOA&wk ze>Q*o$!0@au$KNqa(_(rndbk3tGDfbynp>0-+KRV{?=E2{cGR)^`HLL_kZhQ|L%|f zZ~obT)-->zcl>wsP9uHw*C_fMzx&g_@%8tcFMaDbzy8(V`Q6|Cjc@$gx4-)SZ~cwm z{tJKNjkf*LgQss$6X@;V`ud;$>et`@o8S1>x4-(u`(OR{Ufv7#@@KaFe`X)xOMinH z?7^}3OFttF`DXLK{vXdDlKu<7_cv(9z1t{DQ5XKaiu#+4jFJ3)TiQX(v5+jH=~OS&5$^I=|TrUQ&^b30!1Kn(d(`1lwi z(5X3pgcAC(A8UT>)bg6lhaLUF(V))x0rMkp>EI0j@Hy|qkIWV*eY6K3FKk)cW!m!m=7T(XBz>tlXGGW3O73w25`kZb!%~TxIiPlFf#^Dn*mh1X2w8e20l?4 z?-+31^)XOSA9H)ldir@?gW6{81JXB~}!^I>512aRntt`Da!%;bT!^h{8ty?|0#iweT!D&2@+N6Be+Nj2ZUpj*T0EPbaqQ?fsITU4>t8PHN zJO=G3lnzK1k7^0Xp_q_k(pScSurZoW6slI;4CUA7=5^S-7pN--7E*-sSy@x>v zoSAJXB!#!wH4TtLD6*p$AEJeK90Yqadxu)%;cQ;JnPw=|@I*!jCrwF34En&dWVU^; z;TvV#!G|nV6MN{R%>u(@=BbqqX#p24dgBN%Yspgpz(OL>J@xiiG@<7nUnmeWHw5{D z1`EjWS%;rG4ntz+^tZd+g_i)tF#sSB5hLU*(!gos)ojukVw!0JNE}0fXs{mW8i5s;4nRf{shEJ=21QM z8mrJB02L?{3y~6-`1e5p@mX5QgFVdHcmW|901IIt<}e0~feT?^S{njbU?Czku|#tY zy9v-%#bUH^*j*^h6d4e%%>sRx6^?07Mj3PFFb32NEd1I~Js$5+?K{|x zW9vK);R^i;h?guCqt6tQ2%vO#t;j-3K=WiY1Rx+$(W<2+qMLIK2IxEJb*-y5Jbop# zzJ=9O=S&S+{DY`=Ql29^i%xB$V6cVhF;Utv9xdd|HRi{1%&_QM={Xw)@Cu3j@ z1{?-e=uberWIK&j2s40^peeGD63`T*JE0Vjs-+~Nn{y2Y@TpwYLbcKFt05VXF<=ZB z1H}w<#gWGftoI~w@tumIUnu{ z+Yay9cm?Gk`Yb*g0AV&tIg4D+5sopmuL!#S!>?)|j+(SAV3_{R&bsES4c{(B(r8{% zwBewE9C&RtR-r$!k2VYR%Pf16SfF_hy^$z0bS?m3Aq;4dqu!I=L(>q+IRq>m(#iT9 zi-GkoC&!xED!Bj2c2tGt1@wS?h?X=~BZ-9MfTqm?eTH@d#pp04Ya0W`zy&a%(Yz#- z5-$PHUSk!K82f0mKtE>bYi(92I>csaB@gy6D*#|245XUFRYN)=Q2(Ihi1-FwhXG#) z#!wy@Xt#eeabeov5X3^ZJqPbeV>OaU7!EjWh#3&{8JZQNLq@D^3>X8C!N81M$+{{f z7T`p;#I@)t|XDQqJ1(r;e2UIaJPlQE;UB8*FU`v}Ir~lu{B_j7qGS z&loTUo;(BEGSH1N60qaKi_sPwc)X!1ZLxuWpNikMb@b|g47H)Z9*rynj?BJGE&MzN z;UxfRjE6oR{jwoaM1;*l5WjDpM(*H{!`ZyjO!qewC;GoXY^So ztwheoAO!Vt<^bXLvUp4+x?3`xA^E#nDKTh`<6TYgF=B~_O4btJOH8wncv_R25BSDz z-SLT)$P>WFgn*UAaiVIMGY1H_PPYztS~8s@y{BJceLp45LgHynZl1;FHmy4z)WW8v*6PlG)1k>Bp$EJx487}W2c zUK0^Ah?GHqQx0eIN)H<)phHsk0An?(-<%Yo;CxxY13$)107Hp(W1t_&`2U76(|%BkunHy#w=#$ zbrXeB0y;FMRs=JrF<=ZlaRxM+7bQxh#KCLDsK|{u4`rY`w4?Qt7%(~|R+jr_iZ)&b z&K9GW`($+a(J%yK;A|LJ__g8kd3MVo9uPK5k*XJ?{sYBVR>(fyT?aH`y*s1s2xT_@ z)RJ8c?vsb1z)>wF5#5|CFyL1hGaqvCshZ&Mlbi#s+HWtm&*BcuqEa`AMHTDSW(m@a zTqU*1B#I4)`9uL+r5FvA0A4AJnRz9lM4!wsV|msy7n}@bX~QoCSls_4PWr{OFaTrV zF&LQ92C*y?^K$Sad`7@BiHd!+S)d=YOzCG3Qx*&b4sq5q^LqGAw}@xX)fwoI-l|#P zBUi`pK{qo7i~(cdkr}90TpyZvwI_-G&>Z>ViZ6pP>dptXegym-f$5$JADS)(@*iNGW3160q+X^v0`-OxkJyUy%__> zzy&g3#V9}MhZm!(KXd1kIcLwY=sbtY*`4!&yR_T`CTzuMe%RUfQ3bl1BUsBAFa|D% z0V_uNu`Uoz9s-#DM-wf!P)4EE(F<#u?iRDg_j)b8@(?&Ody5{>!qI;XSO5@1VxJZp ziYySGSxX~t$m8Kf7A-c$5j*Tg7R>IbQvm=Ac^GnPP}Zlz=wcSwlfpFc%*q~2t6x+g+jmH3Z2>QTo+P&qqpLO_JB-kVE0joBxP<;;5zNBY#Vl+r5UX_4Nm)4T9-UVR*o`qnJ1`}>w=pvYjDgEzU|OyM2w@>2OID1Ew3u@d1Nh#; ztQrGGXEiD_Acxjy(Kb>kW(W_BR0eX@bqEp1z>WheKXlDaQH(YLU27Mkc{B1^f9Z}Az1RzP!6j|&K-fyE2ty7F5ohm7@95s$s6MU4|lQ`**GBX1;25K^Z zGbIXIQbC6irWFkl%wjRxIP97RNFfv%=%vmAeV7%Fd9<(T>j@8=nmLv-;Fba`?vHD8 z9m5CR%os2RjDbgHVD)R9uQuU!DU#;4E!~(&g9bQJh?SO2eF^i>N1FwPVU~)wf^yKI z_vj_40Dy%6u*F+-bJY=#09dau*h|juZ7eKa^r>e3 z^MGn-hXCOy*0G}qY@O6D5oPtu$d_Xz_1C7wD8~f|$AvfaS`5^?53ZHbdE>Nw`ZIDB)h3rHY2pFS{)AWPPwb=30{xg- zG0G@0hcRFbJOTp>%?n6pv@)_{)Yc-Z{CVvv<3+d-H%|F){QmWnbF|zZ7|@o1Zj8Br z9afBv(PMsNz!-S43|KMB53PesOAPw-KbpX^r!KZOwBgafFYH=u;Cnq5f*qN46w<(N+K~4kaEc*~^7EA5;Id zy!P}$;%QB8KIBJxi-M=6#S4}RrxUgNG{I@J;2G%F0pBf|&XHbQmXc;6@wm!2Ponv9 zlUDrx3Y4sHnQ%h#%{A#6$#Cnb6^H1iL3jqOZXNK~+otKq;7E7Zho^+AiRL>5++W`F z6t*6RKd3i6E)D6a-gE^L`)IR3KW2qvI&{P|`b5!SHl4IXJPX-g*%_2Mi~(cdsWYI_ zJO?r$AEG6V)kq>CB|+1upp-#?GiEU}ucU$!P`#8ybaNU5#=w(dz+)yF&5IHxGT`7P zjrAX#K{0xtv|F4Dt29&ixYQF6-KUrt1IEC`F)&lK$?_qxlr&Z&%!DKlF#{rH5a5hi z%*@M*QHjA5^sWAY_yjpwkdo24fV_#WGjb)CWg?t|7vVDkmZ@g!qs;>Sn2i^T*_aL; zlZTRl6X|GdOzv>~ZYCQ;&mu?&2nkwik0hnNA8G6-rbe5Uj>^Ge!{tTV%m#aYh?a|W`wVJH1!3Jt&*cq|5Hv>~ZYCQ)K? zNn>XOER!fgl82Z9kunHy#w=#$m4s3PIy9wf)rOdqITta257fGb4}KL3RZtAX7%&Em z0UZOYU*lR`z7x@l?^LpyXr^F^RgaiYDuDuamzFTPZ%-VcM=wEzV@!+RM zDcKhgq|l#UVHhyZp`@{yxXcJM^_z)^umOX{M6sQjqZVE%i-1N9=dzeQ2+IuVR7ojA z)i#{AL-lwZ#X5!~Xm%m zAdFeHlo;|Mj&aHlK|4~P{@QdTY=f=HK(+heiaDJ#)b>e@6{GwZCXIF2S18OJ#yrM= zF>nzK1k7^0Xp_q_k(sDAmt2gl|IA%HH0SC(7M*8NIpd@>I}--18081bic!vqd5i&L zV2pu)9afCy^vEUn^aTe9zK6lHCzMfr3;#Z~rB{Pr*x@NkN3VX#qAUc?%r=CQ!dvW` z21p?k+0ly+(ZV|pg1wo&L#^>}Hm@dmG8AffnrR0oO-V&eT-qsPss&O(UI@#K^6TJ0 z79{|2jMzt;1^Q*?sg(|C0T(TLBMW9Nc?tknNCZl&gg^I9qgV$#_TT>PZkvD!J?p4_ z)8adhqGiI-8-27{pkHpUipT5|O*73=(0W-bB@V6dY{a9j09YJKJk&9dPx=B&tAwl8 z0cQigy;~H#DOgB6h0y0(yPSESC`+KxtpnbClMs*vx9)0LN`*^!-?h+5`XFMdaBkgZ zwaQ)EP(X;5>J5k8@u^-w zV|)zSITMj!GZ3IMD;yJuyN8Vog&YCSY`p2R$!{eObeb6h#=ylf;4ou_{shEJ)=NpR zu?qbGP=P|R5GeuGKQInJK%%0t@dCo8=OqG}(-<%Yo;Cw5rZ=q(K@5SDS*#kJ!>&SM zrpSPBZ5HUmtZ+;u`aB6GLm@|iQ=gJYH#1|v7`QYB944&LpMZGDtQeJ8G2cQ4R)0Xe zF#i+lZgd{!fM{zjRB@ukVzhDCT`0^H84#|`0)3bjj(M~vqbwnF7z0n20r5+xN1bl9 zYeKg)Z3h5_{shEJmWt753P}V|y1Q0nAtj)BG8zI9kf>sweog}xrDlEtVJl%gv%F9{{X#(dT-Mj^o(8BRhuoJ$}FUUlkY03Bp@(jP>d zbutDXn}Lo7bQn~jKLPQQ?KD;)%m7M)rpQ7{KvRtFgi=JRmXe5W&NUdor*c&b)keRs zhGam-fH7bU6f@8jM;6k!F z_m@MEzU}ayjaN_(qR(;e8%HU}kSAi{D0$R7Px^n{ca$89L92Z@eDRP+4P5=-MbzV= zT}qTj^OB+s2My%FYpbye{fT|FS)gBL*^|Tq&2#9DM46#;0RRhOK#Lspp7b7?hDgpK zVBwHX*5_CZY>owdnYa&hDl{*ED9DFsNn`b(*}oN77`J%ze!^?l1K;+C~Sxs5cCEwhZirQX$#Nt7E3dYm>0_e`K2s6 z>@J;{bi8IVM;6Rl@)VAlA9aRAqkA~D#6+Oy)Z3x=Oj~U z1|j$>n&Eha46++JZoMSM>57*o->rBa`=`JDu2xD3@{o;p59w615AjgRT71$Mm}VjI z-8$fGz&Dz-GfFj`B3f6Ce5Q z{>^gqOpHPO?&&oVA%jR61UThzHm~%sQ35(NB~WQ*3>X6!$AIQLgeXxWNe*7fl|~X{ zA8i)s$4qlENZQR%;9#no!pFxDfy`+P7z0n60o=4{G|zz!D2!-PV_#?_k&p@$iib!U z1UO?BGxNHMLMZ_qno=u*nbR0B2A((r8qJFmB~s$xwPIA{#+-*T&>h;*`bi8Jof0d{ zeKSQHF9T!%*O;mXe5W&J`H&D~y>Bx%gB~aP*^^L#^6xFSgI(4o#y@KZr#Y z>(yon(u`aswaFxk4T$+f0bHdR4U_<0DT|qTC80#0%rIkl)-xBJ3}tDPjA$M8WnGX{)-LIyr6jI*#g2I>{pb0dxIul6L-kBw034+xAmk2BRlS-qk9 z**Oh9Q{;$c43cK%;1R?5ypo5Zz;SR&3!bouiS*9(ulnP;r9Jfug9J$%>ht7N`@OU6 zqa2!Sgqen)*se0VUIRV`E-y{^Enu7=fkSqbRh->fw7 z(;RmDc?_7GLVw09qbPuvT#U|tQr?>nu?Sm!t8hAp%GufRKOY7HQng|@$w2xB#kSa(2H+v+1G{O97ZMNn7(eUKYiRH8EebBL0sewAOaLi_a2!a`W-%PU zq4lr*6&=dwz6i0O2D0GRURO$qLu)*5YJ!guOFUGvR||2{D&Zd!Q1Lq8!$JM^Zc*^G zw0OZX;f-&aZxWa`i{bc9^PX-U@HA77L_g$Ql2YLk-X7=2{yN};L~gG-$j&vX!u=JU z#D&X*0|kAwSqw&}rf*Bf>=XU0r#?uOTYGnSN`G(*#UQ((m*%bueTL?t<0!;5_p=DE zU%V9{28@gwZ_x?>SV+@!B9=Lg0b}5)GoXEj2l)^!$*{xjLSd%JfN*UV=)Jh? zNd*}SIRczjQUZ{fF<=ZlT?X(p!(n;~{RxPdEES{A6#4_8Bxs5(qy%=qv7AQ?0SHJ` zw0QuYH389m%9c+N)SM^50PdO0Dl*Vj#5!9jh2|xp6enxNs7Q-B7cuaK4+h=oVV&8* z44`ltnBW>jSaX&EhltTKu#?L&33aEj3SkD2Jj67Jlz^rfZ2}N5hG^AN;^-}RnWr8D zZ`6Y_q-h5H^zKL4TkirOe(9u{!L(cjK(P=JKNh3TVfUyo=c-AO0pV;22k$rt_A(m} zXR`P_c^C>g0-RM+0+87$Fwm}^#Ntym&EPa1OW+h*)%wD%N(OEzN)MpWpCAp0`K()v z-cwq5r7Rrs9G_RfZU`kad^nQEjsvg|2DHf0F6v#Q^HV$NYj9#1#(>O#w&ohW1jS;s zaTusje<(5_T$=^@Fe@C>zNTl)U4X-_KF85BN1Yiy=w`-%F<=ZlG6VIB>jM+_2lnIL zTJF+$G`DT(u1gvsz}er^X-b%fKH4lW7PC~m6%=9)y+OaU z{T#rwS)k9pWls_v_DmzDTi$(;e%sMIUNZnZ1bwPm|2(o9+95zVigoNL0$V4w3q>3mI7LN%F$H zAGf?_zgxETN~Q&Xy9i{EjDnE#6qwmvyMVqco6QfF%6JH z5GoFlB3dy7&djvyfTx*eC}_MxuftN>{+y))2&hd%6mWq)nW1ycqLj?`0f8Maj~&xl zJaparLsMwcj9GMOjL*wsH^yM*$+IM-fZoqKe7k&Iye2oxqM`M#Z`$qBH#i z=$ROUkmRMtr$KlEt!^D~e?^}GjKS?yt&}*l!qbUITLG{*lz6CQFBjr`O#RdH+CQLE zreqy(Hs(iri-H#bq!2n$yH69EHVc+Oqgw~ONi*e0uPsX{trD(W2Yisomv@VTe+5cb zxJ)>q_~x4Qj84JUQ>!qG!RXX%b?bn?-Zo8#M7iBvAD$AfCYtXMaDREvQ`mYO{-ECQ z$TL0Fo321&A8i)s$E>vSL(X z@Wg$a6VLAq(#xN>`!mS+JW?E&t6#+g#Ij7BnTz2w0+y*}>@%K4g3UtEkJ)&kn2qVs zF?kpY9OA5J<|P7UPM@``VGNu#1MTYB=huWK>4;xCDFA1*A*oF!Q6hdU17Bo%Cj+Dq zLYj$)uz8|V1_4evoXO%%^hiMUQWDY4c{~O-qtJJwdmd}Y(o;3%*`+P@hS&C(=zvpb zRddxwhaN4mBxr^D1JPsV$b(m3#pQYz zQewy&hn@5qwk*~dSd{^d<~d{vg%K@Er0Vxmgp>qLqk>Wf0nV7k%)F9NN5Vv%iNf}y+DzS*W}0yaki|!1m`UGW|Ee#hl{-g|N*<a5BK%zvdzTBmLtgI^#F^tE|!4tCxXpGM*je#&`)ly=}hd9P5KLqVaefn$D zk+7@7cGVG&0C=SOu02AkmkhZ*OjeBY&p^^xhkb>@%wf!93>X6!!9c()$BQ<(EEAcD zYIDKG=-XcqnOW+r_8fg_A`|_)iG2s}s`Qwdjei!EGfqmgGhx7rQGTGT80DOp#~2uA zz>n`boju38DANoBW@*JJSlF3df=^#?(A)PAs2y>{`WF6uYD=#M-x1;|N=L7L$)YR- z&dfH1lEPc;ng&QA6xq>>57ELq4uZXzy+f_>a5k?dc`_7gc$#SkCrwF3%(jQF&uA9N zFJ+;c*a4X%3uc}?>5vw1(Ez}C}_Q`l@fbSr)Lku0sc;GJ zyB0c0A4Dt_&aK<*76t#)UDJ37QNc3dgw%R^(`G?k2BupF{D*PH^8pH)A1+AgmilYU zCKM2&rFz3*cYLZB&=?eKp+5oflJ!#3Ypg3NAj<~&IT+LO#`kd$4N z2ZWqxvqfIh+7QGLIGM$&(K+lY6lRJH2-jwTKFkWoM551=P%;#91UU66d2}-~28@AA zW58j;3jGO)mn;>dcMAOhP!cpn7E%JLV)S1C0f~xMEhQ1%oC*e3e?Y9r^$bFd&f^>; zh2|xp6f=p%XydTEP!cIJAY7XT`Y#7ZpUv(X5 z?ScJ-Zb9%puB)$ysth@*1f}Q-%}Wx+urZ%?i%|&XsAzJf-g$;|3FN@5PJIlZgUn9) zgJ`o(#=sg3I1H-LpMZGDb{eY?W&l;I{1nCLPAH|R9GFrkVwv+a7{I4;RSVTd#|Pc) z$ueNS&!~K8yUKVM47Wa&;}7Pvhh_1dineSTy#%EaNK?YlbRDLw%>sRfmWnrrJ=2Kk z{&EP?w;kT60eA@dEIt|l*|Ych?NQ42BG=vwM@gXGdD8#mzN6$=3|j5O;fsenYT)XZ z_OJW_ zG!2oQL%_lzolc|A<}}i%n#FPERP7Q`b*?#BmpALQ9_ZB8T#ygZlE%&mSf)RO$VsC2Jc4#=r$IpwYY}loBri&R$~`k{J7Fvp_#)>1*vws8iBeS`NM85v>4# zg@`~^oURJWkwHN7+1Nt`aoYJFr@r&2J?ZnyZhM$AabeovFfA4$&Na!EMiL3h0gVkY z1A;z7vto3}h_#IYW8g6un2{@4SEa-PoCw!QV(g>M0{xhsKrwohXYQWO^aLH7W8`!c zIw{d}sGR$v;25MD<`EdsmVv!cN_ki@DzRcdW8eZ9XzR{V`Ogsx2S3(DnTFSvfo?42 zfE^cJjJDvw;|)iw#RfhQ_{~m7ul~nSsM#nZ-?GDZSk7ivG49Lt$&?X{Fc`Mm&YIke?>FYX0aPNZoMSM z>57*o->rBa`=`IO{&l64667Hp?;g^rW*_3AlC?Oy7FfSNcU)e3W+Cz2I^b-;H=49G zdGj&tEnFrX;-Fp599eMdbnAepnR2A}^ee3Ir<7I+-*j~TLHx?r0Uso?xlN0Lhu7#N zZaoDQEE7&q*;?g=Sqw%;Q@0NIKaVrzNWNW=5?xI^t~KfAvG8`3r$L_h$Zz*=mZN84 z4C;4JuZaj5M9Lt*DTlLprH73Y(4i@TN;6}?7`QkFG~Xdai4sY2@ItOMk{J7Fvp_#) znu|fwZiWH}Q{5CkK86Tn&XZ)ITAh8&+1W+;nC#ZW#)nCxc@9HEVML1>`$8j$gjAqV zJVeSMz!|fcnb%DeN(t!Dlv)wYoW_7L@WdI=XkL^kkrIcevk<2b6;BC(6a_7y5r>#j zL4-0JFBF*!cge$0;HZ|8h^}xhe5R-v=|n@j6V2;P(=s}bbKqv=DydB-QDQ1l05A8+ zs7Q-BPl|!FxSKyI#zvFbUgEoc|7JAmhJmk%&rlPpfZW-;1VasGodC`RwoNua1n^2( z%*-p@wWCjF_;4hR%}Vf@3r>czwBeTmEbjl*PWr`!7=SS#GJrE>MjOPkOaycAB78=` zGKq?Pv{|4ZGmYk@EEozL;;d)pB?6iAR2b-w-m1yqBUi`pK{qo7i~(cdkr_bAu&$lX zAssD5@%gKNvC(MyoSR0^w}G*k3pc4$hTA=PlKf7LIT#pqEf=sO-# z?L5IR9#%GAZTz+x(juVMe}Y+$hs9r#X>Qx1L^1Ml4ke8Z*j*^h6qy9gp+_E=A1ppqVpUoXLrsA?$UA( zn6MS2`C(_@M-}L5j$kcgz!@9jg3rGJoU;#i3iG5mZD6&9!W-X1pA&-X_S+v*~N9?d0Sune!P6Yrg(Fax@9r%Mo|YDW?wiJ8isNGt&P;?1G9165^{@RE9ZESINoQ&G(}0It zdtE6d4z2OLsR=$tEb&muUM<8)tAu|{K*j5T4+r(vODB>HGv!F1T9#7b65jb*<2;Mos}8breMcu(!9wCO1VHGc&4MT3b?bnC))NG>;MU$9 zo>E6}4v;tW(%f~S&(J({9EF(Xeiq^Ni?;&AfRSn*jB>FsM!BEH%;H;7o zfXohOz#raq(6b%1AHTWyR81-4tK0((kMSxQPN7w;*P|*KxTPpPfI@$QG$7`)(^!T6 z04xcbA`6xT3eh^nDAK8t63bEJXf?q{i9Lyv{wOmuP-CDb12|I@nzs{5DT!E&HV%6W zC6OWn!nIkT53|BCk?2k+845W9ocfgN2@l3MtIB|@igpNCz2XfYbTebX7%&DNnSs@> zalYDw+oedF+qQILCJh?kM7>d3HuWXULmzDx7=~FY-U_N7hu))?paK9E0>Bn;)y-8$ zJOW_7!eB2szqh?~bHT%}r9*G~w{>6$WI&p+NO`Sp0ZXaoZL!-Cih%^Ts)p zG*%;t)XxD-n+5vpTlOT;Vb3&Ty1(29>9-xd<23`oL(r$1^*8&~&<+8@QLJM}5!gDZ zT_Vcrmy@SO`j$?O<|Uz&hzM}@8apju4skIA+pMf}@WzOM1`C0c+4{vOOUWF@fH80Z z3{1Q83Wq#bON#zV^G%pEd4zXsQQA%d}fWnTK$ByYE^3Zkf4^5#(GiK4DF+MMk-57(JC(n|U0(w8| z1`BRG40h9wtu;<#4vf~nj^WL7kK4*gfD}S7FNg$f7K72L+3MB-_i^=cj`XTlN*r3@ z>BOV009YJKJXErm3voWC{%LvbAJ8dNvJN;K^P{Fo%Z3*Kq!2n$yH69EHVc+Oqgw~O zNi*e0uPsaImISc%1REFm?&utxSyG!P(R_KgDEL?A0n!+RUOAM09hm!NA=bgJxqcJyjD}m$uX!UfpB7 z15Tk;%~cy6YO}~@*qBVd_C5t2N_42YCLfHP(>Gp{6+640S3RjW3{q|CX9 z0eqm=HGJ@^Sg3+xAjW_(U<~LOSp6E;>hhh4UVNvL)kHG|ORRdtd{PM%u)DN`(S3X3 z06lsMDjXAu?tYSl#?WT!t~Aq(JAf=c8pBNb_WD08fFOnb^a{g(aSkPo&BSF!n5o}PM1&0(G$xAe%pA4w zN?8OnVmOz@mF)<0#fK96_^FVW2yeM4m<-4|HlYFDjZyKq}D! zc8?2KCQ%fb1kIse93r4G4uZYR#>2BQk64Vdl+0la7z0nAfq*G0^hYj60TKmJ_2n-0 zV-i=Os~C@&gC}MY&={Xr8Uta>s-?t`4{?lBehAu;`t;YPBVikCMFy(f2UpDLoT0W) zYOEOL$1rKE!@fdc<}l_l28@A=U?5@% zrP-MRb5tsV%)4{K5`T zQ963{OBQ7zaAvk4loZ}#*EB#1p~#M2e25m_aS-gy>>X;2hqHM#$&;Z_!_!PVIB7~M zV&c+H8B;Bg3i3i&W|UtC2eK#uh-1V)+APp7Gf%B_NDH`V(HmJXYspgpz(OL>J@xii zG@<9dX%y>#$Nt;D-E9*vp=TYnZ(4lEQM61rdZUjv3-rtFRq>d8qG_f%3R*8~rNp5X zo{f046#$DviHADo@kw7`{)^N(EwBAeYNVCHKc-s*`drIHqzE|+ zo`G&1@Fvcb19ex+Qc^4=-a&S*#Ut2lc8h|4vLkVa5EU#FPV;F!y=k+cE(6o81MV=5 zO*g>UHa}dD(k($~%O(^MqNRGnVRwA07tk0VgLckDB-jiDsLTq-1mf;tBSRrafHNC! zx@_`W$pf8c#(*(!aSS-jSfM`w@sjmY(rc_je*jdVP%K1BK=lud0}zm?Xl%TIu<3b; zK;|?CjDe@kK#S>3YeNu2;A9r7M(41rP?#w)AY7XT`YDMoifDIy(~QYT`W^E4R1r*c&b)keRshGak|$bkK5vhqRcD&t)+-1=13dy=^L zPDN3$1bk*jFF~o^fU>7T%AwEr7_@UHBEe=LKpk4?m_SVTmqU=g?eLzB7t6tZ_xRi5 zqcMPfuWyf1K8Rkk8P*)UWb6eGze?Z>Vyb;Oe8FIk5VHCuz8(+lQo1ynmlSO{Xdnk( zTa6Xt9ZeHM2Ew6`B{2Clp4sq_G-FBqRqkZ5HS=vCIiP8?K%b$>LLCss=9N4{kiPBkE~eD) zwl`zI7%&F*7|>{563V^)X)|C8awY4JtZ}iAHVgD)mMQ%VqC;;8rD#lb9l}P#cNnWb zbKj+6TjLCzL*?9`Fym9OJtxJ0SaZpm7m#=_l+p~6#?A;>CQ$_B0H)0XeTFuZbe5JC zqeJ$rZ44L#hcb|fivvo5qDg}VI4`^yZNY)Z8>-S48~FFB_-$K9FD$+~vZOZlX7*ib z#qbhv2 za^^^s+e=cMu6Swk-HPW7$=^+-#KMo|c=uSqrMSdHC2MhZEwJ{-sB>IiyZbaXKBm{q zD&Ks(H=49Gc?m!q1LB}v&KzrvbL({LfTx*qr1z#)Sm)tGPg*4$%WHdxU)eg~1Dwrm zS`<7K0HhFtxoew7o5f&sGUU4Ci3k}40}d^~A&0Yhr2=sX(znM`62r}D3>X6!&4A`RgeXxWVGdr%l|~X{ zA8i)s$4qlENJ7a_;9#md9WG*+(-<%YE`R~tv}rWYfs@%{^o2$e2{0)XQ^6l%yeQpy zqS!OE0EZmTWbr0?h9G@=JS8#QoW_7LaM28CG%re&NSMRZS%_1vcTuhaIz@mK0_Svu z6GPx+#$-4kgpKLoO+twVv+|_TQR391T-lRR zksfoN1OwH+H9LvfteV9365p+tL%pFlOD9pY80}@)f1vov3fUJ*cb2F)>rCmh@Kk!n zko)8jk;YRJ!_9g64EWVkTzsmg8PJbvPQY*qt!pUUs#sr3Ef97$uN>W+tJed{%RJ*Webp4v%&APv^d7${(1x@b%C5df8hQo?m`qKcal zuuP(2A8i)s$Bb!SKuAnFPb+1?P~fm)RHWsco&DiobprUv)iHd~&5QwKz!-RB2I>{p zhbCU_%R+x>2C-kjhFo4K3y2u5`q?=Rt}UBJFF_7diCF|R#^;p^DTFZ_Pl<(Q4xhDZ zHP*lC7tCbzs1)>ll#taQe)($CY^xzH0>bn9RjsWy`r@J4LJdqIX{^KEIO~AMV;SbMs)cH!pS-|Uo9S5qt$sN< z3zn2g2E;4$XS_0sYIDKGsFHgNHwKJ>C&7TW3`7+t+PcLkQc_$Db`KJyxtu^Tx}Vl4 z<@-TvZ{s3rcKQsor=Q{(W*CsOLVv6nJ;Q@+DH{XEz%d!HVwAIccrm*AGj~22^Kb^v zp>lRSZS$Ne0|ALyF`6Hq^z9QPCXch0F<=ZB1Ns#)M<3JKlhN%O&`pbv6{=GFgrcJt z)->HMW{s~&TYBXoaAfut{aOHy{#(@ofEW_{wAfH&f$+>)8hJw=4==K4u`!O=VK=g1 zc1NAY0a!S9j1Q`S?Fcu8YbHkhT6#@PJ;7#)qEBY@dGK(^;qd^bko2)ZpWG<6g(n*? z0_34$>!vMUNIc+U{H&8;-X-<$P2(`3f`!CW2x0Yov{|qmX#Hz{MTatFF^$+y16gou zuP0LC;m7j4c`V>kT;idUy;_Ka^|{mX+A|A@e@tT`gqy!^($eH>sg!v5(4$#Me19GA0nY7J2idtMRk**R6TfhoaG;=%HjBaN)bwrX zn0=z3_0$K6a%=AvAxIOI24w2f4X(wtHm$W?cI z3;>N+e&f}pl)&y^pBEqoAXqUf@@P(Dpnw5i5Ods+dY4%7OD9e8tkQJoJ*}%Eek?{^ z0YC~Nq(Co?^7e9#KFp?*c8HH5&y&(J6mkSOWn!?$>FzshVbhuX5KnoIBNH&{S@?cM9_;4hR&1MaN$FlaZ z1{xjbsh#wr6SW=2z+wh6aT%A7Orpd=V=>w|>}L9t0i+O$4D`w+t{@BaVOBUM65RFCS~m41%tIe-78r)vOww6p< zNWbmq9WNOGJ08R8J?T9(TO7qYcJcySXPW1NvijxZT!_--snNV7l+pkJ&I>O_C0@*D z3>X7Xoq_41&0+d1MC2rCtVWm#$pMWGF$01=LrY(4JM5W894|)E*WpbBz>Y_^{@V2D zqv{3>x^RnLi-CIg!E4Rn6vv&BEBPj0nn-}ticyIg^BDuiz>{V`thr|DI*|}7MrqYC zkr{KI7z5$N@)6UC-@l4G&3Rf3STQOb54i-#1;@-7Fb0f)Ap=&74jEbSWV8hqo;`Kg zz)vVP^z!s-@C&;Z8~B=(g;AlzeP8X$!rR2(8jv|?E?xsULHH9kH|yUy+1UC7R{JNhsOB4Ja%IYW}ZCb zDY035g~#MMyF;wwGKF6Ydm>4 z(yOVIc=(Y#op`j5G?)lWJXErm3voWC{%LvbAJ8dNvJN;K^P{Fo%Z3*Kq!2n$wac0J z3zoA4n#py**}R(M;YhDdrNqOBp0rB1avksi&X;$Kf`0`{R=7+!q4?&S^o(S<_0%fN zVlX;2+o^TH`TFLsw@uR_QEqqF6DjfV%<~0mWj|fKCx^!{>7krhS!E!x;jn@50)P|} z`)IR3KW5WOr!gHmrkOGnIK)}^0Ajc~jR9lek{QUwWn4Zoi4qBm55H8xOh`%4vLR-4 zRmvd18MBy~R}xAIXd)#s+?>XMF>t92cuagou0&lG33KpLxc^|jnoSz_&_|sG`Y}_; z@O{#5h60B;Yb!=YuFPo+Ts#9w&>W~3myb-MMAl*%sFFlNN`jURF{AEM1_92P#mv0Y zOeLU+l*Djz9*=?59}pjJYKHuD7?_bOQCG#;J9rU3BVd_o#y;9C(2to$hEo;{1rBl6 zR*Z^Vne##!Xjh+iy-Te4rITWQ8qIUSg~Esy%fJ^JNhG8sXc`riG6-n?P%oJ@&Z8C`xXBO4Qo{Wn0m~&kQ7S|h#)2~*% zg~h2DfH7bU7z26+s@+lRhu-#R5ADeMExuF9YNEp1QI-W9smcmy#X@SPG^5CwhyN!;7 z!7rUk0DwY&dWB(w?mzsRc%Jq}>X=IuISQpaV9=N-wlj0o!fWCYTqeUQ3-rkh>5Qk8 zg&witj)+|b4Z7;ssS9kKSsKbEGmwePxO_Npg!2I*qNS=aV0YBJBv%gJ2oTUf2-!?T zg3S`$b{)JIj(K*)=wO-`)od?nwvRE{htuvchPsR~JWVrTstWz-MGt+LMTy)mMn9UU z>)ZoEp>zj?$3!2x=6@WTF^hmk4ChLnJP2bpo)Qav1jjh#k1%-Y5dF2OG}z+SWT0Df z@FzNUR^yG!hr{%vM2S?Ssxe^qxPWD2P-GG`hkkL0fW|lo_A(m}&&IT3lrd)xW55_# zz(7D>h5n3JMo|Fwi_xivj1D7{F^MbC)ostgyF)u>5zrW)R~iFh%*InQYK@1pdF^JJp-{sU z86BK7rF_;cyz{0dvlSsJ;|@EJg=%6CeY9C%n9Mx2(jk?($oOv28y;pYdBy=)ICI=n zZ+}G-dhVM>u?~0+)ZI2|QSj!b#cgHLGT}gZ*5T)ox-8Hyw^zku_KBvM<|t^roJxs@ zAIY;3kM@xU6Jd#mI_B|7UtssLA|)QqJcUbmXKQjZkfz)076t!gN8%14Dp)3*kXlb~+AOHc zz$VuLXX~50=oz4(`Qb!LLO642%O>2hik7M@huyJ|3TTXvK|5z65^M$nRAz-^0&!R0 zF%)tHIJ5E6eLD7xn;+k0dk$itKUfF#v`#VuBz0!B8E^np=uberWFFO1ud%ZJh+_aK zDU=wIU>#y(2)3EslSd8@h|SVU9_(Q@o)QZ+hcRFbTs#ArxQxq3CQ%}3v1)VaoGW|k?v!|oU!(8y#ld9a7scuFiZcPxAcn1fl%7%&FTgMmz3 z#^ocEC~;;@C_`V$Z@S*jYJ$@(LX0iaA)lNL{dND1tgLYhYm0SFjFv~d72+?>Z_ zpxT+T`mJnNjif~v7SuykEL1^R0@Bw*O8`>eQ6)$b6C3oSuaTNd)Vw5=3=;E60_d=N z8X$#GWT2Ni3-rkh;}wqClb?h#L!m}^c#Whq3?H`r&~ad!o%D-Nodc5S4(KCydF;&P zEX->R9FGA9Xoda+#7nl*SSUL#dCB7tFOGp7NzlXy83g^96^_{>2J{}i1dXS}1~tc- zFfe+s=kl*r4u`pciudD#ek5y;gln+n44}9*tH?lC5$nRC)~B-GlSFo>qCK{Xf+YZZ z^b(Zn4NVC{fDWkIEYN3Ya+MVj#;UpG8G`g}hxbELYSFQxz1L%nQeJVSrBGohz_I&p zjy=FE{^Cq20)Vm8lscEg443w+*dy8D6q^tv+kYSA^#y&Hm z$t{S?nJ!%SS5HaJX) zg^2UCVpOEXoW_7L@YEU5mVs`JkboVKVXnI4W5hn=5kU-rliAwEC?&M|Gj~RcIRpmI zp>pnT|AgGlb&3qIO0z)G#CcdTD$-(3W8hR6u;-%3IuZq<$wQ=M0-R5z82u5N3ZM1# z1qajb&Ep+aX^Rc~%ov~Ej$T-NRajD6{3Y^t_rgm6(io#dqzE|+>X+HfI^b+xX{H$p z8ZD8rp}!st4Kav^Y5K&xwmtXXX-~?c!w#8;2#`W3($h`496p6kln~}>m?~phf}p^ zY4Y8Q=dpkK>+fo%lpqh;c=wP_HTw_`m8``leSx($-|M}YZj%{L7?OE+MecDxDNOrkFU5?c<}>*9r3G_-M?9mo{2H2 z-#xu1B4iK@IJ5wV9M0yI9ySg^`gXOHM09hOGSHR=T3jIm=kNrxa4M$}=C^5RzC(x- zB~HM>3%SxrV(g>M0{xh2E(S>`844UsbyN8G7$T54jR9le=`*0wJO?^vi_sSvNhH7m zh2kN|WM~17sPr@Qx`{$L1nJv+yciy^Umm0HX>Z1WF>px?;AVbr(J)yXMEMX|a(Ft6 z@EHNiBnn945Hld?$85Y%%*J%+m^=&xj%q21=nCh;XNroEPBQeur+<>i#F7#in31cb zHkm{TIJ*+fo{Wn0nDZnUsP?VdNz7)|B(~SMZoM4p4ZT@93C#_7tXIV`9lTV<`41Fd zSv~YoDKD}REmQjGdEKYWgNSr8#i*E_IS*jKAJC_67x7drNsB2z{ix<3>zeMMesU+A zLhIV75@^%vYe{XVZ9H^FcLVOw0Sdzm^GO;zEnxZmGWAP>rcgnaKp+oZDT|pRJ7s}B znIWA6Q`$Zx$$jlwyb)k3w=k0Y?v(z`Su zd#qaZh;Qi(z#P|xq&8WIm`7Bb3ob@QUd(9>7z0n70c{xwT@`KJViYN5N8E&B8TvlkfOm!d^a|7W9KKmGI%LG!#(*(!5e!Th zZE{&A5@W?E(X#q8ck$4it@BuPocv>pF@0h*4ySFHK;iBID3d}c+ z0}0wJvcb_%t^?jY>+owL@HDjcx>8C*U|su7U3mRy;-QkgT8M-7xzqC6GYg4-Ok*L0 zo4;<-(&TAr@#GmFqw)IX%#j7R_jK!krUmW?NtZa!P4aSS9B5= zE)xzQ?Q-T=jhvg;tpom9PY_6yTYI-GB?n0QnC`x2Ew~*;pP_l^I0`Y%{Vc-k7jFfK z0V89kq7?wJ5IWOEEOQzI#=ui&pg=TPh{#EL_~o#>P?#w)Ae;^1;2j6SUS{LrT=SBL zp^ziMStTU^nHdAdz|&^HVR{Pv35b_06{F7-`U9XOXo@VP1a|-6XC5&GARtlE<^g!t z1cV27X2yUqaB&PMG%pFINRkz!A}!`L22O_o@d4xMq<6NNIApFU0|VkE+i9#qm;rQP z<;QclCs)eCVgLBN0(RFfMuBN&3|s~Se)VbAyC#TVI%(#o(7Ys3B7Q7Jox|>N0n1dA zA_Ky;S)dQI!ZDHP^OOZcAxD6-N=g7SI|T;X)st9!s-_v>tK9Vsr_id_>rs^q+)|Vt zK%qZD8W8i@X{tppwPUX zP)gjzVzhDCTPTSX84#|`0)3bjj)_EfLdj6b5#X$n5`fH3fdRLA67`BVe9+B|0b{@z zcw`3Z71swQ?how8yS3b<^Js3{(v6ukM1T|ZMrqm9moN`~v{_&nW~q29sCpcFk6wZb z09Xi1r<%i6LpmZ*y~1EGNx!$fbaVBGU+vNvr2ePz8?Y|rv(`pE9t4LHcb+?|97s@DTK=X8p~6HMB#3a1`s< zQ3SS5YKCGAEM#DNb=GKJ5=v?00B5hU(*ouY7elbk$~p&cj0kA35IC7V)nb%Q)Evfu zF>q20Ov{x+t}H~HK+;%^FcXpk8XIB;1bv2Gh9Uql}diTMPn)b;K*7ljr$Q3IC-Pn8rcJwE_LVsc(Z5HUq%!*M)i8+h` zW8e`OP-tF2I-`}56{EHmQRUBTR~awDg}8Cbf8+PBr<|kZ_P~I)40L161?;e5bc`PJ z8w19`lV!k)QGRG0Tv}q#r~lCeo;`K3wV@4<27Y1JVgujnu@LOYtfPrOar74 zgo;C?h*k`NGc)Zv;Ay5A3L5Xw>#&rzkMTNyfZ8-f0T<|#89K)-O37>=5ZLkZ*fE{O zL)X1OG=&z;m_>)i_`E!JV+>}VJWEmv=>4qQF0bve$oF%CbQtWW9b0Rh#vB-}e;vb1 z0MZx)^MXjwW-%C@nyqdf@HA77^r}`$99rS&#G|bMSR6_`RI--~aXzO0X?g7*&?!^0 z4mcb0qozsAh8F;&5IRw{%bE8Jma_yJ-8$e+nkh$mZCOfKpS$%0t6T?skjR&tv^4ow z=p-&(lbcX{b4_}t%wjM)HCx>};IFq$(;-oAch`rfgsX|>I|STc-t!c;9)~}uH$3u8 zPxYoNkl06?1^O{79MhpArqL&g2D9m;9pYKY_R7wn%wY@|15cd+jpjL!0r?OuX{<&P z2`LGhMg^q|0-Q06nRz7@lz{4`B%+(s7%&E&3Gq0N{loC+A zltgrMuED_F8iQtIETLv0q>j9f*v2^b_w6dTZy2e0*tQK)>T z^fU8H+KsF;!;HmQ&j@n{vbbR<{bC9Yz!-Qe24=J&sZAzPVsc4iX9O&hC_<8lm;sS8 z2yn(MX6BWIQUa=%l8A23H5kALYF)zzzlwz_CS3DOh6FBj%h+pn%<_C5-Od69?$gOHkpMNObp;Bs7LLQ+K7AX50Z}@zEG&(zn;Y z>WgXR&e5Zihv{0aE_^Dwo7(v(`|5o-PUAOVn@3@7YLIF?_^DA!_5}nf^ru%C28?qk zX>2AgGr~;$W+EbNz@RZvY-i@Eg;&ZVpb^8lEG7@aGDA95Qp!-Z4X5o;JswA~j^PNJ zoeBfpsU-3=@_3+Aqj^!$L;_NY7O;CDGP=|4tdrAq2psho>kVz4|4KvJf~k+Ym|$Z?S6{Acat5M=w4^3-34x_Gb1DwZ_BQyqe_6 zP^jT)rX8F#B^5DoX{U^-7DxqoAuKb>uY&_wlmNsrVjpc5=$DzNRyw2wT(szoESRuH zG8A$IIQ1!kPBUY`7`QkF9A>Q0pMZGDJgO($;n|(xxO6+j#ze8r?4CR+3y0k? zJfM-uV)9@QvuY_ZWOEn;#=w(epv7!5aVeA!k%cIL&SCesfMsJ)WI(t!3-n=DI3^N( zp0Z#ld&lLItplX$$;>lxyt?m7O6f0*UJNgv;LudeR>FTd6Q6M?5Z`Ra4)uKXwH@lW?jLjDi8=r8;) z-iGF%QsalO;~zVil`+s|VQ*hwh3>81^`ia5+t>ZoPg1~_{_Zh9qV*2e;yV>>*))0y zLJ8F2-&3{!E&XEp&o#Gw1@iHa(}(t-(5~wrRd|MIX)ojW)wSptrwApH=rxbL)SSKBxb%;&_BTuxcL;KLB2-nf_hG zFVoEY|J*gr7q7dfd($^JfB$aN{Q5Qh8@#`Ahtudt=BwehOOa5`YV^lR0|s*9)%@!> ziQE7CuKCR7N9eNucJpELcVBz;OYNVaG5$7vOxevQ`amAES)gBL*^|TqM2Ftu5k}_% z02TtkdWQM*_Nuvk)_k?OYW@Lf^yY@d;7_$p^BMXVU+o*TaXb^rIb^tSNT=iV@jpQy z(eOIGeV#s%?sfWTexeD@*GUSxH}hd>U-L`3>-<{}my?PUxhEdq{tgwR&09^|{F$rf z`VTS4XS%L=o22w<+XJ22nhWwF8YJ>Jp3x`ocYdF!d#ky<`=y(9^TU5c?hmO5*u3_j zw3+_UPDig^(9-uQSp-wwfOyF?7lR~}5>UOAM0Bn5>U$s2=d}Gh^!7Dk32|!{zP{W+Szr&M@yqWZfu4DJ0O5}{{daVH_y7p;~w{4zqV;wNa5G$#Uzn@NzgP| zpdT}h3_JA3X(U>Kj`ZpTqze@L-u^8R-OzE{Cs%fJ1v0=6|sX zP55NnH2(|b>*(U%e*(XLMOUgHJ&PGEO42XtEq4CHmfYX@E`3Ic!{*ah&Hepdcip_~ zNCuvKF-j5#gOq6W2l63WFOhf-djHe3|1EmGx@nv4AAQs`?@$BFX$AV5t0uJ_oZ2kV zXJ{%Jjw(0=>DvzPHB#!)mcM;;b=9=U=WA~?_y5o9^knq!5}Tj@)N^@_vn8Prewv#r&(3>iD{AZg1&Y_r3p~KBdpEn!E4*B$ZA-MVG9n zZT0D-JFh?8bkDoHrfnK}{uHhn@8A5eYraBE_PTm1jP_^TsU$O*$9r@+dht&Y(!N1k zRE+)sKAEHd&Hd9aMlo-F&D9I#wrlqO+gx@qYv(02RnH6FwU zdga1QZ3kzK%CG<2F`*8CXBUIy!Cqz#o*F4J3mD)IXw89zImTsOykIx1Wl6#`Z3cynQ-V0 zp%jg&u0zENL(N)Xdb(I>N(l07UXzr~^cDl#!sZgeD& zMgP`)gsm^r>yD<^wRAMD=^5#UMxwC%fKl7iD?eT{WCDa)OP)e9`$W?i42kx5IJLw? zpy$-vq4!OT2YSWpfXDvZzw}}37X@$LBMQOUb$3JTzCpSD0p;eqriHMwF{rn{q8Vzl z*p9mY{*u(A!;gHfOAvQ6-N)#+{apzF&zb=(bG*B(`|{qzLnUjkmjSguM*Y7@ubCyh zvk*QceHgz;-XFY46Z@C6v0WydPS4$9<#ZabF>s>pBFeMi33R%3z`IWq#K#V zNvVUf#pSg<&987B@Ifvlk@OR>=B{o0zo5UN^}j?{a4Mee+Ryka8soOP>sl<~osU5< z)ThyAF&G_9-8$gkk2B>+zFm+KkxA1PN}7Oy#tR7SWrpzI`Q^LY=6k<*-2{5t1OWf- z-+yOn4C-@FFLf4dbaDhZ$CJ(~t5gS}53>VP0+mOw>-*;Roew|w$8@!U{qS{9pINg* z8Tb+|4?liQXL+v3wXBgCc;0-0D$IWs+yC|J{lli% z-2L6`HlNHEuFC2%5b_}!ccF+BZo81 zyNMo#0!Ou!M0Az&BSin{?4!SF=->V5RpaQ2b)88f?Wk7y1p+IU;g|*bFsqglLsmOn&-d+S6N}O2hxqCf=5A3| znW-3fqj?VT{O)yg^F90naM+jL+HSmtf5NzL@9j5id%!@v2>EyE`}meB(o~Fg?L+9- z2VLqmyJ~QYub$P5(Wb?dQ52)yi{^vokLXnY=kyP2J*0`1n&2S{T0nzEICxnIoXix( z=zS7Oh5`p0FNKefAp+HLUi|_+8AUM~+NOKsuYZ?r@&Vj=yqgs<;P<1Ree~KD6{C&c z{E*z?C%R4ZwK+l2P#ebf9GUv4%(q?70uzTbRs z#AG2BRjjY`mLSzBMlqiU0sKVs{XhF^(?1!#z1lSQKTo^9_Okf|ensMtDgE7jpG4aQ z3dKVJv~PhLc*QK{p0%bd&?hsD#mCE%ewgWCj0|OI!|wy!gu8D0WVF3*oV)(;y7>wP z{LWE}(anAai?)w=9vzz3H66mM@6iv%{ZCytxBnB7>rh(#wG&MB&?!E0zpJ~Bo{YB5 zd;fq2zTUiQK0v|o1A0-V`t+@SG5XQp{>49+C0#&>JO=HkU%V9{_R|=> z1Qh_V5X{lzt-6g^@wlj48TEQ7;7k}`m1aQV)4D27 zZQWvYKIyO@vU`vq&E*7&(fzbgIG?=XB5HQ}478`8;#pYxvN3RCI+1b#`)2qSvdM!4x5I8b>YcIS6AdUeLd59R{ z#Sl0%YYAm0=?t+$Z+Mv9(M%6Zsoi2_Ol5 zt#Ll2zutux0Hlz{>z6bCm=8~$_jK!kZ&y4Qkv_F7CBDe_6`g}Kt9B$4_#fN8TppMA@oBs%PwMojmY`yl?i_TC$&pNS^aYIq)7MM|M8(l^O8_XLQA| zNDgQZL)->5m}ok9vn=2kTKcxeVNVv$5Yre#kiPBkJ`KP_(C0XY>`&dosV8XpZT~%3 zz58He6bx*i252-d38h3}fU`g075WqVXtO{+W>$b z$1F<8Y#$KV@$%R)oy9}fy+1UC7R{JNhsOB4Ja%IYW}ZAtQVQt(ti!)hyDnann`P0^ z`qww@c4_kFxyNlKWg9&cV-S*dIrA#(fTz{R`BYxjN{K@&Je_#76#$DviHAz|av{#g z)ITk+{R28>#upObtpm=+{HSTt(&PmIDTGec?$d;(&4MM+=+*&m(o8whYs*r?`rNH2 z*lfY==_R#!63v%)D{y}WN;VD9#SlXBBsPsU3!Xu%TL=90wrTn-IMUtq;VI#2qWKO1 zWz#)RVe4`DgJQzt(vWUev+^PWq>$K0n+5tYD;(3IBc{=3fd;ebq#fc}$o9(4pv++m z7y}~=_|Mk7&IGm>8YB)y0o?G22P<>%~cy6YO}~@ zamIoDtSAEN!;7!7rUk0DwY&dWB(w;v9+ssCu48{g}iRh!{)2kq57o zML=VGUTF-3WrlRBq?Dm*8&2DydOR)>b?hhtTPL*(MCmSY&PSZG+m_^6K~*RpVpgd{ z3)np_U~!Ds5JHv&&7l>wIG`~Og1yYf!{e9G>@g9gwO@8 z0n#&a-i}sdJWv}LYX;z~fx$D^ix~#gLI{ljV}v!r!oW!0^7)OByh$rE3EaVqo6lUa46$HxEw`iw^~Y!ZTgseLFOvq$6=&r=k% z-qxZdp%tE8_T5$hEDohS)G?1EeSz^R;k?K+Y6OQY<9iL3XfIxU_C}SQPwc9f>=Hs9>3JLTWwz(6XQ| z1JkVo?&6B)0~9pBT|nu9`fJN3+_7ej@97+N$3iNgF+K+EoQPo91O%wU3daQEp}rF+ zQEER@5!1ajb2r#=SIEVGk-R@$tSWnha8I1H-LpMdzB9W+)U z%m89RQ?SSp&=jKwq0Ayxqm)HA=N1{jQJFKJbFSeF)j%JsA*}+`t%7QxmI2Ga)*0xw zCeZ(>O&t=8?^F~8O917uOVFVNg0gcV<ao_&oSG9w~uaavu=;mKfte1yYJ^4Y0GR+&v z#{i?hp9^sIC%i&`VjnFF^h+#xk_Z+Phz`9$R2VuJ0EiF)w8&BKN$*@5+2qUt3v)VM zOrP!Fh{~y0=Wa`!$8guxXXdVk?jzxg8*I0!l#y(mW=qHRn)=q?)Nhh=%dc%{o0ss+` z1*+n7RZvz2L7K0|o+^mT=35{KGbZ3?^sz1{GO&M}5^)jQ;Lu-$WIP_^1}5Yxud6v?0ZxQ#Br*2UvOqs!7f_6D{>)t@VZ~@JE9SEd+&~7}YnbC&7iF5ewhVM*`3l%^>%}M@ zlXxTo?^ufs{Cz6Ev(wS5|1uP+HjhRU0!L!sQ445&kyik~Ay0ig{Z5DAhzOg8ApY32 z>wxo2k3stFmS&ot^%Nz)$4W9a(I@6xumC@1(P4K!4-tSvDALnQl}vyLYsphMX8NuR zB+7w@Q=6Cw^qP7*^nTG6ugM+z9)8pM*Sz9)ehu*a7=+;OXogxAhmqsfTT+~^cxm#( zis!LE|MstHQDVqB8(+=oRI?A|p^~*Y(ifO!A#q;iTaNch!%LGlKhf^OWx{cy{+3Q+ zEelDY)2##EeNGS{D(!uDB@Nzj3BR7)nArpHfG6pB^gDH;;w4qml-B;v>Jq-z-PZi7}|(BfU;Unx_4qk}Uw~D6(v5%Go`Ux8^6om|T>=7t% zM2!t-#92=WGeXU3XP~PMc-wfE&UN1Z32k7tNhB&uC92KsJ{irX#hjLb=a~WeT#9YS zTW8$plw%zePP;CquPp=J54^SI#;6jUjT8#ED%RJMOOWamqnOX8#b_V`crlBKdGTF4`Xq*fgEdwm z%n8WihF^@Z6yUj?^h@(${VfCI88}_EvDyS6uu$gU9Gs})CIl>zsMtr#0{w((G>=&b z6gaFH&8Fp=opbArdW9bjxjKde{desI0-oiV@h4Ad*G=O%Le!JHD&E8|6YfG5^O zrB#nWfg{!%s;`|>KW*7GdI@sJECL$i^WvEzOxRpXULe(Q%)RQ@7`qr_^j#@N$OTqA zPxgP0mK)-1K3{F5wi?nRpv`NbdU;sJe8w=$y`#6X2>QDH9z2gFwNL1{jWq~0Q z!+3>b_9r~ENKmMODX_*m?2WSyXpE16z4Z*^Y-*v}=<5h zP>dea8cq3e(AL|yh+d7YQjk@iy|0Y-1lO(ud$Xv%pLxJfh5qyk)AtzAVco#)?r&%PP;@+ibos%(+T^w@NxGao12ey9;}Rjn0V-1nje7G|f={ zdGP5APKsbH%fKyVVDabN=SbFC7iHppS{tkwReem~A51Nd2)tuxb@alTriaC>QMI-7 zN<-jC>QTY zw8aaF^HSk`$K=OXM~i~<(&Ddu(>P3Vd<+7ah{%H^gQKBb2fTUN;oC&W)6m-cN|c7c zy7s5K@cPk|hf4NgAr7w+{u2QeuLC|D)ZZT#1?Q#33zi9QeAE1fz_cue<2TKF-8$er zQ;GE1GD@0-#Cff8$>QOzgY4XsnEm^Lg~VeBfY3+FLK5)0b-@3zCkU{R*1nogsUtWC z$QSg|+;ySP&^&Y;g_!1k7UA`aw*tg~k#XalwE_SU(llKb%bb=0%fNHbfc6<4)VvyFpYK=v1L3kTs506TC|7 zb35r*Ns@tD2Ko#rG>;`Jo4QzxHV(VT1uT&$iVO(XvOphUg=2!~K_~?ZIRczjC;`aq z5;EX6CxHXMj^RKzyO9hepIRr4J^{b`51RDev7h$0*LE0D zUL98;uadIq`@4N2UpBtKd3^Zi)HjLXYU~)K55X|P#={+Algf%c*dt7g=Ma(rh!8}y z_)*vzP?EZ^XRS$dWZ`CUdj=1@w?WLQPiuY=a|CIJ1$CvEk;JZ;&1KB;f*)$2bP*w;lb&YXU%qpwDR=y?V=T{amDb z!h<^AGOfNUI3!evr9Ge>>zj(smzshv%&;--t)XCewKMAL+0@ZC+t( zpUzUvLo^FzZngnV$W^KS{Li;vw?K^&_gyl?gbHGup>1D`4jE0g-%SOS?st9Qm%kds z|A%I_iVl!&wE15LSH2%V>~1s@m8MO%@F~)NX$F_VK{JiM*w$&ea^NjOvXfzrEfnTg zxP>Qk_<^W?0ZY@VUh-R`-c~e z@8jZ+e{#QL8F10L&hM}e?AoZwIm@}?QR&HO%MEP&=iTtEPj+8HLmW2iaQ<-w_A}H< zY(F-k<;Hbx?Dv2H(8tI}U$b zUokqI!NT?()^|Cw-OtnZ(JtF8$^Z_jWBY$UKW^E!Is@Uu;Pa&K8+q|kqi)~fv|Kq5 z6d_sG+G4c%vwbDNLwrx;1VBe!JyBgEY-lIK1tO0z1nJujKgF!`7X#LA^y%cHw=8)e4o^>!~GT8Lj|u0y&cqN-VX-_eW+GGZ0?2711sSN?UXF zLYbqlEk^y{{kDg3`1!=*hD}yY+zC% z1UnMzD5Ql4;T{{f^=Yw@1@N?E*Y^7k5qI}Ad?5=UC#GEooM)P#pz$kujgmewueh{R z2av*PjI+R=$l}DH41jz$bYX|_`_+6i|1HH7TA)Q19U9~F^4Pr}A6rM}X`3mH1Bj3a z5zzZ(w_je{<4cFH3DRM(7wy+k%}h*Af)>jpYD}{YocG?-ItV20Lfau$~qqNKjkre@*J<$O2zX1M?JbB%nB<9t*=`tK((@0yUbt)b3y@|HJ+N;1UJx;V*u6q{S2Jo?{T;j4USR)$9G}1LsI7o%IY# zIhyPF{O|h&{O--CuM&qfbQ##uSDR33Jej}m4~W>b-}(%^yKjBc>;7HRyuwC5p9yUc zH5U{nYYtut_ut^^QcxKF&J)_eY7_OR7rpFcq5u{YqkV|~!#xZS@#~-c zym9aqh}gbs`ZJ&zSxn4}$4DWbT1+XK^~41yi*#0}-}!XBKYd8sSJ*nw3=7t%L`ngTg!K3r2tBLG z1MbcJcL@XksZZMd)hqQ_>tqIoD`td~(YLj;Et@XRw1fSdhc6Bd+G_m*;jDK}kmpju zaB?j)O&l6Kqoi-Q!&bQK>P0Q?b738a@1=HIDSUlm6HCe<6h|WM+@HCYHvj`{nBa9 zi^D0TwO@Qo)#$PPuZQ-ysoHj`=u^RvtVLF+c>#k&i4p@k^5E4A;JAQ25WxE=QQgsiG;wXNVxbDkxj@pZ)F*>1 z_;b;)aV!ItfyEg}&*sH&dVp5nWu(XaJB%klzVs{Zy0Tojm!j<sLy_@#UU02KPuD~uQv=TMYk)$=s!H*v2SVFLz@M6sQiqZVGwBA^k&r7W@sVTplG z6-o)Jw&AoLs>kEofp|P-I6hIYbNZI0*J8_A6?Q zhl_bN>`73l;c2EFoHQj>VxCr6Q1uyQ0e;LvHL)4Y!9tiP55J`axoClacR*N6o&o?7 z5`iA6H!rU}v5@#{-!y$l?99KrhbCY`FFSI*XmKV#EfWsU_!t!3_Zg31*dzq~Qu|Om zW{=1-O;ON#TZ@u}R(N*VcUu9lIF#~G$2^Yo1y;I*H?P3`o9tlko)!hC6}X!ZbZs~+ z6MlM4ZaS{D%bEA9kxLS~b-)`;14N~DcgrXhu9uyxHJ)Ly+b;_4Y1hxXrtuJ>g2l=y zyhR@^3+gg3-8$e7|8eH78({34-!7o^KoH~Q#dracCBoqjy9-MgiVO(XvOphUg=2!~ z;gfHHLXH5Zo)Xe&W*M*y+*}46W~|VkfcTtwR8P2X)aVbwPv2`YtV3)hifv*1B(VT7 zpf`jvYXtxzBnxCt%YbEoGw>sCJ)?~lbJ5mZkdLgzV$?b8j(Qi+7$1XnPDDi56a=Wk z3daQEYgR#lLXH6EIO#D;9^K3=1D1hX%Yeg#75WnppEIr+HTnY}7BmHm90Ap8HvtF` z%B)qRltnkEA_JR0AXad_f>2B6I&YG;=9(-<8wa9=l1PyO;aV2xBdl;t)RG777AWKh za8{uNAT!IrbIicPj}0rNq}ny1TS~?OK%qYY@j2sS^rb?70938=Q#=_B0V9KG)~Zp; zqMLJz4A4i&+gev`czmmCdkfVmcqL+A4=MZP!$*}MA1=KL&10byD3}jFFLc;lD9jWY z5Uyo`K8azx!ZA-5qk(|%T22Wdv&~NW*{QRRmVt9;z+pgz{shG5?4Yp@ z9)vPCm3ox2=;qua12`%-wNPzz9O!120n318;LI85&ODwRuHKWx#dj*&vT5`Z#3hiX zgrU?pq({pFeTK%xo5P;dh?cngmL#`UQ`QJs<%R{>~Q5wx-p&Skx$bnZcP8$7*eY7mlFR|oFB4lR*(V;hl zQW!cH0EiF)w8+svY+Qt}v~xB&b3ntKPS$6w4D8kdzD?YxbZToZ$Vb*#VAVZOedV;07|F(L5H)TwVg4y~ZjeG4|22KtEypv34TVOgf?E&>Nnt z6#$5kEKn7vtAesJ2-0kJ`5Ew+Pw~1K?w`~o;v%%cVM-z-J5QD?jU*D11DY6O0t9`A zX2s|*Bi6PISO(6KfeE?F>uQczfD_>wNsN88EYMHb1r(#3KXVsJxoXE+>AZ%@xi1Q? z`rW%F^4c=67s_0MtQgH@#e9|l%fPeGfVK>DV;Kq9apT2kiyV0TKpE9y1Am{2@9cE+ z>c0%Nqu(BlBm|DczM~c%x*)s+fX8_1p! zBR}gYN`8-}nMUL#Sb!h1=&-wVV!8Kgf;m_SYsphMX8NuRBpThrsZC4-dQH6@dcSCk z*W`|U55H;sYhLj?zXo`I3_?&ZXAYv$-jd>Urxq_yXdPBO7f7`JbtOsyTI2Xi6TC(& z<)MTmkGy-nygh`xEi?_zv*=Afb&cx()(r+ zr49lZm)G_XqOx_s2Sj!cyeN1m0N@aUN!BXIBHslp2BV{?TL=7yI8%w_+Xa*;nI0<7 zH;;w4qml-B;v>Jq-z-PZi7}|(BfU;U&M zKC%M4cy}Gpi1qG-x+7HB_)|-9F?eK;K!Kwgr7XHRH^_kBV9a#L#iuI6(U)p2z;Fs} zYY>Yn)~n4DqzSoVwMisO42bze0o&TBtVqIs#jb-mL-IW7Vqf_&%KhmUfb3|KKL-|GU=lp%oWzckTe3uP2q9lfxo>0vQze6H8hD-D4ou`lQWEgb#F zfCT_CB=%{sp*ipcIY*< zuV_78-!u*r;-$seGd>34^nJ!7@*ucQIgOa&!?K;HDW0bmF&Yp zoWdo%c?E7P6)w%+H@q}CFD=fV@i7|TG}`6Nf0DzK=e=$na9;6Tf_=7(QsENb=_=on z#lu|(*}>A}k9Tz97cLVHAnkJISdCnoZ%fDQiT;5n2oROlzM4;|BRB`h7xdEHb)nDD zJaim|nC5;K;q{BR0>prkapRq}0ss-xG+h?UoR$I0z;n-l_8A`JBWoZ4%zEbdH9J)cVb`Bt{y<4KOu*T`5ZJ>p+5j)K~u00Bv6RfDMmr33MIi& z<7hR(tHeIHlYW&X8K`BT&wxVn4nmpBT`Wc$hrNZ8NRa{IS{CRdtZ+;aJqV>hAxD6- z3MBxUT|x%j<|OJBZ#dA+t~mqMzK?y)NvO*GGH%eSPH8=``47Vu7T5e0jM*oEua=c| zDbmqxn=h_oUVf~j4&?V3aTE5-lLbG0ss*Lz!pEM zo2!nv0${zu;E0?*+8*1aKcgwK&9${HqFEBe0#p*bT1>YZaw%}VJQN;7uGHaNIHZfTsb6N&00}~l|4p%U3%_S?NrzEk)YV?QtI`oe${v3@0 z5kuI}R5BdL7=rX|ho6yBKqH2q7z1G)ejM3HN81;&*IyZ}1AQ092C#%d&y zfE>WIEYN3YCkjPTOLBEN1nJujKj%>DpIGAAQwIdJcJ%V}YVZxa78|&O5h2)-SVti( zJP7yLI1Rud2+a<`5p6aE&cw9qfb&cf6f}NCuX&XAkMTNyD3a+BFAV%5i_&DaPblL3 z^4M|u9eL_{^oyp@q8V9qXpGOxV>iYS=E<{!Qb6yQ9iCg=)8ENaW?mDd!(cDkv9-o& z%z@GR*D<^VfX5)1Hed1OxNVvaM5VpDpHB%_6U}W~tUx3S@;G== zZ+P(JLh5t{68mUbpr5e9F&#QS(yJ$mhOpD59pXjEzDyEO=0FB;q?uU;o@)jmC3hPA zfqZ0*HC7{ugv5fTVUc4H;EXILw47Bi2eg>d=7nT-R_3$}SO(U~fJXD8L}i&ccu8aZ zH&;-MKC*Ua=OQXi4j-3#0;2nrnf7XCKkH^0uncUUfr+9mFCSUjtg#wlCZzlj6CgPT z0nW%`VqWVOqd9iwTPXwL3gk}?)Q2y)TS&T!u}=Zg`=$#HX&EpvMkHQ!He)jz`o7`a7gTNCiJ~fG!X* zgbgjg5m~TMJ9q`+i|D~#VaJpjhutwepphQ~dxp03-5KIpdv*p2>&D&e0VX?EI~cmj zq0SP3b7I>3sgQT4c24edlM$r>kgP?f(Yz=hVn9b8yn3~n5U@m|VjnFF^h*qdXq{pd z2S~zj&N+faiA7&z)&I-qH5tGca-R4vwtyoB#Z1m*CZCo&#aXN7h(l zHIhh3iL5$63x$#)2pd{}BeIy77mqOn>DzL=nUu2V=G-C!i|dWWq({#jEppIyST|nY zSxe8l3=G{eU>P_|1_mY2S;kBTX_MBH#ze6<4XwFtiv>g$mFtaw-K8bW;L{T>X(m80 zgs{RfLGOx%uAzln$l8!%`jitWT4weVsV0gV_g zWsyAyOAK_XP)bm>4X5o;Js$639m6|lb}1R?E``YR;PI4Bjpju~%O-$Jw1C~?0+vV= zMY5nd^ov6TG{!-&SJ-%XGUkfKsGwvH%YbFz`DY+tiVFS77oz})0;u|Mm--2bE6`Pp zC(OYUSp+o3=fz_nOjtEa0(p*OJmquHR_fDVn^wX$*oqmbb{|}k(=|iwpVU|}D&Ira zSciRu!YpCTV;Qgv+(ZTfW;tH8<;${cW}@2MaxuF7GxzLUbFI#`(s>n?GfqmgE6IQr zqw z79}BYCblCK4sWsRGysQCWJfPKL<{dY2=*rSD{760i+MHdNl>WaX{H^VG$mDH;?hn@ zrdl8s@IqK(#IJ(`EJ^_47_pC*1^OlCsl{(;K`vVK1`A;=c?tkTNCeU>B>vhrO~2N7 z?99KrhbCY`FFSI*Xz>|G(K6uxKp!m&^h@nS@t8ePUNSvJLF;WTN)lS(NtZHFbgULi zd8lI^NBROQUBa7J;Qmc^uy;-K6Yap#H;uu-6pBfy!Ace-ry`wJY>X=WL) z4BT7>9A>Q0pMdzB^-|JntU`YPRG?5IBu7B?H_rhGAeuEXUO?FCd1ZmjX&JB#+&~6S zYePT=L`XI*v1)V+JECZH-Tg!mM zgcbS|5T7$EMsry)pJm{ZGLZdr<&p?qDHm8#VOc1`G((slq)=ubd=&bSzTsgOhf@!hq8MUH^x$!G{b0MV>f zqm)HA=N1{DkC3;uuG;YUmeBSVHmA_nLseLeDnTi_Li1QC1vcihZ7~W7*2pjm=*dhZCgDUhVAU|97W^cf$6 zc1}bvYytw*p_PsaM83Zqg7j^NpUHRygAzbnkbFtv7!wJ4dlRUudxdKiG8#z&@Zv%Nn(NKIrIimVdz`{ zAVLJtB1gR^y>n?~lQRb_%;{u(*2=(cE#TY4eM+Z7^8ykD`N$e;tVR+E$pKBv0)2*d z0mbN$lC>=ZmVq0{fJXCJD06uUaP}Ijki^(W%L4s`@yFVtP;`h*Xt4)-gcSgY5CKxn z;i@662-H6)SrOl$>tw*!fiaYrf&G)3L|lY6I0TE3h>-kdjnzmZAvmCjAtpf3XJ}T8 z4l`nH%YbFz92uC9tGuq}hy^$iu93vpN6P~Jgk3-}y7@DAk(8@;td-7dsGR$v;Huxf zTOzM51AC#&CCG}=Tvp6y8L$jI`wVEyKsT0=fE~A9jN-3RJraR3s>KF8_|8s8ul~zW zJNoU>NJ8L9>^o}Vp$o!G0CCzgA^CYXbTu$DZ9W2W!AK%&t-oZ7@hpx4yfq4$fncunru z_wbw6zve~3d1-O>jE_MG{*Goi9+3w*j2yS#lHzp5OOqc~JdgePw|`ZO5<||}_-an4 zntdn_m8`{)zQFqRx#RNM-RFe#6TK!@`Ih5-((tn3B>-^@oT$lKDB?~ zF(lIaW)US`B^=9ZdzxS2I^Y8$y9ZtrJQOS>&LITzs-+x4hT|gN1uO=mqp4d5{D(MG ziR9b)lsY;dJXD@<9t&?rB@Ob#M}CLDS&p6)V^F_GdYy>KgX99RUi`2z2Q-&b z_JWxmK&Bm+X3HO<9RF}iY z#~1?9CEm<3U>SJs8Nf}OM)MpJ0)@$1ECb(YB$1E`6iSBV7z8*Yi-~!?h(ehIsz)h{ zZcfX9W#D;bK%;q4qOuuq@LDmN&5b$dW}utf(fU~i&X<9SqD_{8lf~%mJ{djVyJjO< z1~$*Y<`1p9YAM()MXFwm`fn5;S%H1LyAEi?dUrzI5h`r_sin9WJhDfiz)_7-7TuiX z8K`!u`tmVXid=lEGB5g4%>@`vp=}LfQN?<-S%NenSFARPM2P`0pD2Kv6r+I%;KeK^ z=EXvZK8az*`B_g~a1xZI4Zjp%asS<7ga~jMCw*jNzbylGGk`N?LL0JWS(b%^7vU2E zmPl0Wqh*19!V;yQKx7sI1&-{jC+3v}GUugapnvx^C4)n*j^RKzvkX`UECXlG07`~! z?Q$MZ1SBHfJWf;xW%Y*YYv(lhM3Iv%V?bJ%gC`p<=fxg@0!KAUS#+&)`>TEd<9dZb z!C-SjHop({b698lv{~=joooGFMDaxGQ|0N->JuZCi|jf#PB?UW@|h0*X=4h=x-7;tdy3Gt0njW*}hN3jMKS zbmY0iZ5}>0Ys-LTK)(plXk}!@s2xt%l7VZeoZYn~(|9&bKoYDNO%Da}c~rqoeu`i% z%YbFzb~0d3M&)~5Aeu6SVOnhAn;`fKMMp2JX?j@98sEBU>6M1Sk=PgXfEJGaOF9Jr zF(meBv7umr@Wfggc}E@(FIcqL7)R`|8!UvqqD}<>B7}j)2Niufbjq^89uC(`jQX|o zIx%&IO^BjTVst!sIP&4~4df6$Y|tk)if!RZ#uETC1btvH+Tw-8d8zQeWAfvxqea2< zYks<9kJ0$1(Jp8HlN_Er?{({d^OETj?6YN*Gz*CzuLC|H@^IHd zcCaY;;~kyEh0BBkMX`{$$5{#%(tKMwW>54FJVAh{wD#39N)C{CuA#Z>LZ6{|=y?Cv zKZ^TVfYdME3J?QE2GOh)0Emz*kU1>_mVq0{fI{;QLYd18%do@VLSd%JfN(7f^buA# zX8(Z>tDrz3M}V^mB>5I|B~WQ|M1Xe9l;7Un=wmKrCnq7C8ck?^sSFh5!T* z&Du18WSs>vr)9u0a03}oXdVk?HZ4|+X47I$%YbFzxo5y(f{HRQAU;VUh#$l-R#0L;K8Tf zQ-+T(Y* zcelt3&Om%`iDG-fG|x*77doxsF&s`1ukAQ9zPna^o;lyE?)HD0f7JMo+#UV;oyOh$ z3H^1u$5XurI$T;T@o`7L=xN1WM}H#U+&A6W6F&|w0f=KDbr>RH0cUT2()|7iix`po z9+0Med(x=MNKE3G9-a0cY~^e}YuYmc&}I^o!?_sW-zFxXf8Kn4F@zQpNsh+D8`~be zFS!x;I7koYJU|=+K!`}JZ{OX~p-aZF$q|^u#|EE5`!ONPEl4-Rvy45l=kWIwKyt*l z`@{g__nI$WMy&t+NQZcA$ltI3y1Dd!N2D*EYE0%4@xKK6CKmB+AA2`$<(f|Me literal 0 HcmV?d00001 diff --git a/labor_4/res/spi_master/sim/libs/spi_master/_info b/labor_4/res/spi_master/sim/libs/spi_master/_info new file mode 100644 index 0000000..74645c2 --- /dev/null +++ b/labor_4/res/spi_master/sim/libs/spi_master/_info @@ -0,0 +1,21 @@ +m255 +K3 +13 +cModel Technology +dC:\digitale_systeme\spi_master\sim +vspi_master +!s100 PDm=HJzS7gNQJSYmeO1UX1 +IN33UgGiQbnc8#ib$VSI= zXaWs899M3L3%QoiXyp-leUUzb*O{$^IW;TuNvM9m-*mY&!Ga`mBtjjkF}h+SqDcU^ zmatQxh=?SUsoYhBI|7Msz|t#Z%r7RvRSo>B_(99$+rKYSH@2p)8oAEO0+3+u8ij+h zplb^4$4i`y_tFhVJXl$Tk3jO5K*e`p_HxR%$=MnN2f=r~FP6$USdf@IuK&dqRtL2y zy_3)WWdg>d(XSB@%`Q3ocy~bqaHBKJrUI86e5_j8Us4ik*ASbiVs2>Ui1wc{y68mp zDQQ{R-Wqy-b)?|{q#ylr*?({cuVZDZ{*EQdcFL`+WqjI!ElZvq93rFkG0B?;z4XBc zC+bjK7}cJAWTqU(r)=_l0&eXcye2jOgC+WQ3s7$CVs*I`vnj0L=oX2KJSr;eTwtCJ zS%o-d>;ksGGLNF+uUG1+xiIZlgIMAD@*@$D1f}2iXTaMFd9$^m&gBKLZYJf8&XLDV zb$jmU;Lr@-O6{muc`sS*NtZ_BAcs2Y9}r_4YHDI;9N4CpyEyj(Ywg^VF%v^9kA_}s z7){iZ+lx1V8?iVz6k9lcF zy?hee#)9rA8mnht%C8s1w31L#rVU@mZ_C(#V&21|TIerzZlCIbyD+1o6N6|tv;L>l(aBy-SA_wc@lWK{Zw@tpc*7&aJKc0*GFXh7RoP;MzT&**oZ@ zfL7NHh}kmhvq7}GT1Ig$R3PnS1Bqb4(HD{KI#;NXh$wZ%{Hl%OtAuDe={#+4lDs#O zmti$a7}6wtTMiS4$f(`{#_oqtYr$E%x6c4Okl0O@R&7eeo)Wyl&MebI0{1=XObrpT zCcum>B=iA{#3Y$wwK^AjO5owe^W~rzcWOFQ^KUb?feFL+VN9@m6jK$LP@xZ*cyN859SDI4My62?@=w*~&~!voT13=}zCbB%&&KVZ99o*lpv7IeqA+kF7x>vqz<+0_ zf&CNyuwOF`9vYrE$~bpJ^B^iFAPZY4h<7MdA?F%reZgLLkMwT637uh;j~U_3 zWBC9NeGPEOPVQs7)6v_PlF{;hFrU2*<`tFPC+>SQJ*IVUVRzQ%rUaQkca2TiYN^}o z`Aey4=Q8sOxO>RvjA86R{*TP=gci32INVZLd}wf5>I!ZRbKb@6TOnJOF}NN55tlX~ zx;v6n=}1Z;d#lgp16J&AoQWL>*WO48ZRgB@snCHZ zA%#uYflz_Wy*fhydq}VXE_3}PBy}%J_tg-I|Ck5{hQLJB5S64;+=B68Zl)AqR^&Ke zcx1@(YQ#wQa0^Iw{QzOABe}&bHWHV0$@jFKcLY#yNqV6^c%V75-PO$ZB@I3!2~pmY zRK-5#{Dma%A4nR0MiS=jJxT4qA<6#-l187A#3bIAgm2)dx4hp{e=%(n&ES&f4rugg zC8+a4GB;(WD5_hjZUr>ois_H2jN8k3k5>_Gl>vq_=OZHW#fg~j%R8&f;D zHPm?*cdXU$9-Mt2QoUUkw&8UDlAD^ceb$Ef_L$#y6jBsB$Trn7UzLdw#Ev>Dpy8w4p9JM zRm6bM)r@J{BK;Ntv!Xa~1$Z=8K^If^acCMMgYm`V*3U@qHoXB}ep%pEY{FZxN>(+T zmZAS^pB%Ck;(3c!BKRn~V@T}IZJZypya!7xgUY zEGZ6198f^pTJN1y*}W>Vu{@J%FwtRi=!+$9bdT0e-`8eXBV?UQv?S@yw{O^ZL6&(< zhf=WIanJsFM!OC?z7faTzd2T8u0%cgrDUpWF0DjGqGyt8x?}4lg7Hskc~XDDj0zW{ zD;tbM=k1%D7eMxAHt^)atix1x1<@T`PX_527yIbMn65AX`n8iQ^~^b+tYJgnhJI5Q z7qZ#G$8Xxh1EThONqh_%*2A+MSuzr62rVe4Bol zfsaK^&bh33N>Asu^0or+!$z$ydyhN(sKEH~;LRJ8FK-?^bK-?C&g0b4u6_G-%w~PH WwPj5BbcRBqfcCF^xdr7Co&N)Q%Z_XS literal 0 HcmV?d00001 diff --git a/labor_4/res/spi_master/sim/libs/spi_master/spi_master/_primary.vhd b/labor_4/res/spi_master/sim/libs/spi_master/spi_master/_primary.vhd new file mode 100644 index 0000000..b145e7b --- /dev/null +++ b/labor_4/res/spi_master/sim/libs/spi_master/spi_master/_primary.vhd @@ -0,0 +1,23 @@ +library verilog; +use verilog.vl_types.all; +entity spi_master is + port( + RESETn : in vl_logic; + CLK : in vl_logic; + CLK_DIVIDER : in vl_logic_vector(7 downto 0); + SLAVE_SELECT : in vl_logic_vector(7 downto 0); + DATA_LENGTH : in vl_logic_vector(1 downto 0); + MODE : in vl_logic_vector(1 downto 0); + MISO : in vl_logic; + TX : in vl_logic_vector(31 downto 0); + RUN : in vl_logic; + RX : out vl_logic_vector(31 downto 0); + SCLK : out vl_logic; + MOSI : out vl_logic; + SSn : out vl_logic_vector(7 downto 0); + BUSY : out vl_logic; + SYNC_TEST : out vl_logic; + STATE_TEST : out vl_logic_vector(2 downto 0); + ENA_TEST : out vl_logic + ); +end spi_master; diff --git a/labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.prw b/labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.prw new file mode 100644 index 0000000000000000000000000000000000000000..de970a2fae00648f88fcc3ef9047ffe9a0cc497b GIT binary patch literal 48 icmXqEF-~A)U|+%j1WZtx8A!7N`TS5?1S+lp6axT!qy&Bd literal 0 HcmV?d00001 diff --git a/labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.psm b/labor_4/res/spi_master/sim/libs/spi_master/spi_master/verilog.psm new file mode 100644 index 0000000000000000000000000000000000000000..52637b47dbacdc94f9cbddc6542ea479952a7e88 GIT binary patch literal 30304 zcmbtc51d@*)SkP$oouRQng*ev+fh|I$Pg4Qn?F0VA#EeOt%Q=GX@jbuC`yAOD1wxt z2ttD*D2gH|iXbSWQVoJ2G$^9Ve$RQ%bEa?Z%$=RhH@{!@=&pGdT&wcN`Gk0e0 zz=Mag(zHz_y!s$#4VFs%(g!3Dkt{==0K4gsiy#k$9Ox^R?f`ig=!Z!jE_p}DM*+VR z z$-hh9D)|@5n`gbhVDV#NU!If~ZAjiF zkiGC4yXA2QNXs|kVcHWmkKhZLt#$jzKV-}+WZ)qKFOrzw3Y*`;MQ1Fc99i1ae5Wh_ z&5*s!cWm*zGmL*^g|FM?emt))oHstt=DT9?$f+iq_8#ZC z^z>7E>W4dtcW9%^VknD zuaJ4WnaX!#kMo;<`q*-=7vxmxcxT1ArpGvA%THZkaaN3vjF}uSjg0lF53R0~?elk4 zyq!J9E7~9W#gpG3K+AOwnXhZ$A@k#TeQbOl&u1f;u+4W26Hbr2$>uvt`J9M^dpYh# zPMyc|Hp;8>=-8Cbqn3}ISI+D)-uSW=T*sxQ6$h+i^kv9=U4|5At>R$Y!t3_yoxHyE z{~2dvk8wI&_pqKzN18nycgJX6TYHRGw4ap46a5+c32ryIJ|XjU4LoFiJg+ZUzF@KS z<;V)Z?pj(}FrFTdv3?=*{#E5OgaPZ%{JQ0Jm^1&Pd82F`&(AxKw~+Zf0uPxV&+Dz@ zvNUf>^SzhyTifG&aXex^<<@z%sE)^qcVmydC<8ML2=4bUX`^k9X_>22io3EW0ig>UHxaSN{63qT?&iQ*{21ehV3W6ZhjGBj1pLhYUPq>_;Js z;zz!d#SgoX5jSMuAtP?cqWF>TWbtD>WW)^_c*uwwvM7Guzo`CkJ_s3pAp;K?af_tA zAD$i3Uorc>QeXBZZ158kGnYf9Zg~ur`m<|cfbnujjoY?K{P~a*6Gt98TlxS3nr`o( znqSDUSG<;g;6?K04-d>Vo*2K0c^-MF&1+&}5LZo}?GG!{j#j-aVxH4Jo4c9^ei*p%MsW7lDNz5{Aql)?<_%G zXTkybm+d@e^P@kc^{?R!k-=pkRHdK@o4#cA=G-NEq96`$%*4te51@|~39v*X0( zJ$jIQ%qPqGqArV6hlL)euJMfZfSv8H7SHm>b&=0!dRAVh_)k&aj>?~YSkIXExcqSO z%z7?YpV|8N!7S>2mF$LTS1B(M{~F;>Rh)6fp+DlV55QyRJIiyY?7eQ4R-N)Z%fv%} z@L1zmK2cxuxA~r^e1(Z1|MMZT`T|VkdA@uk9=E5nqjVmDc>CUhCvfKlt1AuhlD#=jgXfWT$cN^JZt)gI0%y zaIkgeIQk7RalGkIJo;_Dc(|U3$KxG5etpnaUM+jy&)f6eAb~r z^^AU7Cq43t^|j-{)|Wisv(MvgUYrN!wOM?&AEkWj3-~Sb_h4d&Ix7fNzud$OAt13HX>V_|}PUkNiiaZ!X~5 zEI#sp@9wa({J8IcZH@wk;v*0E*eBrQ z@eID5;=3isx3z%pD)EsAeD{Ez&6np5@ZBc9*|e*ahr|=d+tu=?AN=|L9Q=9yg8yv% z$JTR}{B51A@528Y`O^>ncKvJdxt{PpN&YkCZ~H>(zg7P9gTEbzxE@<&`(tvw_5@7B zj^7xE*MYCNo>bnnTa4?v1FcG>xSmvfxZe%IF3u0%LciL+Z+^CqRYDJY2lK`(@|nPn;LE&&NDaF2Vi`SBt3_u`yi;H!lXDX*0|KH}K7^*ml{{?GD$I`kOx!#KioUS?qR4M~4o zXT3rB**=3h*6D|R-LKawt?5 zxN)8j{$u5@=M3BU@2&Bg_-UW;mxvG6X$OAq%S!oq%Ktd|>-oht{`+Wrw)knE@DGTe zcHlqW?N9c8t@!;LDnuN95%<30)$@{Vaqp+`IFHjli94vcv_o9QYw@38{?oHQJ%?Ey z8TR!D%szyI^m} zajg3T%pdvd`OvofBcFrK|AFGybDM4aaea5N{Bw*S_0jX7ZS|>Ze2)5x_DOvzst@f@ zpXk5npMCI8>lJ+x<8eJ2^|bxj_GP|rS?-@{&!<+B_b;gfx93%lNO0=FZJ!xTaP~=- zRX2Mc*@Gc5-|CgpeLty`X@_}n9P&jv?qFuG8RP}O?H?)sTnrlD7D$X_50QSAbl*2B zW!iz4H{wBdUpLk+-viv!o;Jz)@i=U z>Dgtb+iL;-S$)rhwE8TRzD)Xv>rLXwgZ7Zbr)RDAvF*Oq-lvB+;MITz{=T^0`-u8V zxE+?vi~98Jp=fLBf!hIZ=UeHm>jFLh-oj)2W8$~>5oY2#%JQ<~wTZtrPn)>Sb5#1} z(igejq#fqRaY*9Rv*j3OUUvWAX`YX9|LPJ*xjwI{gukdQu1S057V8 zz7No~4u_!*mbca66wjkohf}3T9ZqxoWZEGv+Q&XW$!uPzUxVX@n-1i0j*pNh!2xr8 zsgF15k8#;&V~)4gLwKEju&18XgZ{va>Y?vRbghTg!Jb!{m^iA{Z#ut6|IXlXgX72e z(&NY0)A|{Lefd%K+v$#1HJ+UzJ^JnOt~ZDye*|Y;?KlHpljFe218EHhyhc9gaa=q> zys=(S6fg0F*N$i7<#_NxDj$B{fPJ7$JIGzI$C<2rGV5~Wp~p=({m4T(f7HSHEUm+n zoWHFOPjS$IDgc^_MNm2XFGpe9nMjH8qZcsk2*Zv^#<`I-)A`9laWQ||b*TgAk2=`(YI>e~b}o-K(qq2QQGDWv zEBoxZj-L`bRPGzkbNp2Lg+1i?jyE*!{=oe@822MKj{Io{nZJ%<-pJpshtqX@zWZ6a ze7yjFas0H-i!YSE4AS=Z3tVp!M||2t5>L*HN5QVv;k@`F_wS4Mb>qA!ypCbkfqJt4 z1#TN$r}Lt|M`gnYjK_KL#fD@5iuaKsuJBe|>QjiT?{O8zeMv!F;jOsTrw~`)BP)!1 zq2k8-@iAZFt+>>u5Le%0D~$V6#m!&$2(QQallm0m>U(sBabKpm@qSp$S9oi_)H}qz zNd6G)pE$o+z_j1?LdS8?%43W4h)W(Ef1zKAGpn6nV0Hk{;n>LRJhRqt^kvvb-ojgX zQ}2-X%jFNzHScX0PxH3@$n=Oyo+R&h|1)?)M&7Rg(CK&*-pZSLhrC}Y|KKgE_jNEx z^S1Mb>2VyB2YH8n{v`FjSaD+j&EH9p$4x^$zQHiTokDuG=1rr|Y&^dYrGwgLMmia}Vpb9qVRsJH4#_ zR=+pkuhnlRq}A_@(ks&6^91dcw+ZH$NT0?^Ut$ly@l7w4}0p#JjmCj|MWWnh4Zlbqpqv0-d4}|<8P;W z3a{J#VD+S)WO_| zl^y?9&yVA8r+Nyn57{^@s3&;D8*@gRO%)2lzgyqZXWcB$J{#t!v|Bih`ck>NuiJ6&&G!ZZMgC?c_mu0tDc3*M5Nqg97JlpDc+x3?4 zI{jczJy~!11Gfc4zO8js{^gr`JYH;`wk{O-^Huz_^;rjL^ZT0X^NAy#t)tcF>y9@# z4m|31t>e{8AkF_9uFof)_NbAGvrEik{6W33so#)HXM}F#fgX6#-Z8}!y zXO54MC&2-8e20%W>5p;QXFqqm?Ydleoqn*Vp3I;Az>C&VzoS(+{~HuH_VYN82(R}m zZ|X^0z?{!7@N>2b7Kdc-Bq6wf2}T&l(G^co`Af7VXO^gME-^a`Y{_b**v zL>zUbJtXnmd1P^i^T};ky1>d2EEV zdHzOv^!0Ano3uk5jzbbp?l&I^yGn<7|JME6-tQ4!AFy#$o_aDbz{Gzif9VI^H{_W@ z-~3*2WB-nR7hYFB8TAf%TOXsJ`XOvrDb(NM*~{iLx6AQ+46XXl`V(Y&{90X2 zkK^~xt}h~v{-r%6@!au?=T|e*CV&3o{%zM)!dst*Q14JjI{-VKKW~`iy6R@djedyr z6W;1W>K)?llRre)^|SL(+J|eUM_lqGeK^^D$6qa8hrScu%A0zJy#FSDh^~20)_3HY zLf`$}<5q_uZ9lw4`odIC_C4#*A@qy(v9pJv+xu+uAaT8a&U{Ghi{~tWwD;S{kN4Sz zq1*BK5B#-0T@PvT{^@#yIQnzKbXfdLb{k~3Uv|V3yMH_0cE2pVPJY-^PwGxS;6;6^ z-`}+11Nu0w^Q;b--zuB8&FfbDP3QF=*BitwUrfyAb(`#BUjLOH@x< zws{G!lOOiflX;P^%e?gasa@xV{#<2!Y4aLTzR`z=Z^?)KiGGmR5pREviTNQqWM0QOUcFGfRo5HD6W)6{-sE`jK`Ngz<6{1_gWOKO_WtMmQ3t!9N$YT|^S9OE z-pWto*>SGds3-H#epjYF;?oWiY4CX3seE4CZ^!HH&lNHcYjvy-LA`T9|=H;5;{0~W!ULzm$sLx{Y#(sE;c!?*xr;3;3 z!3P=pA>(5Hw1X_#4>^C-VOOpWr#XLH9hN9Rjc1QDJ^3ERQXj8zJek*6&Mx|#e8`V} zkVSpY{E(-84w&}&xW{YD^K{3n8qdy3V}W@`?Mzr#L=Lf8c}2$L_P9>UfiWz-b5hG{!tW9j|IUdxmtaSN2TT z8^n=M^v}7DH#rWRJdn?Fyhc9gQJ?d~yNT;Pm_1v(#1q~%;^lboK`I}9&mPBNnRZ?J zhx11r>^X_F4$pD^wmLjl`Dr|Rp7iLS^IdNcM?P^s{(Q%W=?}c3{&|7pP5J?+9pnog zuQ3kvsLutCxBBNrt~ZFoF5xH`6b24e& zE_D93y1mr#s>ZXINss=y$n^$s2TKQ=_dyVwypLMP`h$A1+a-Vsv(#z15P{0*E?Qg9OzM>OB`?Y&l_BC5QkmFd!ysS-sE_be!yu58U4e2 zP%qkb=^xe$b+hNz(z>m8{hm`7M*qBByu=gU%f!p^;De0*VO-3gc3t|1^G6-*xy!T;?{NOMI=oZ)X*_$EbY16U zm%H8|j(keswe!@w9dB|RIC&u7<9LmH(4!9T6>nU3Z4@u@g!g^o<#_NxDxWgrV*a#) zEV}OE{80z{9z$A(_d9=E9X_D^G@fme9(DMj>kZ;bz8`YD$#LN1fxN=;8u_3{9X5+M z>hNLl5>I$PB3_OMA7s>laWQ||b*TgAk2=`*NYXld)cM=$aHaCoc=j>raa?@d^#*a| z6UW6T9B*`O^+^H~HGH z>o|YZ!M?|n*5NAWZ>z&+l%K}4&zhcGhkwq;YaCDJb#=~ez0a>qKIBI~$fElu=7&7( zdroPdpZ9oed49q1s>ZV~=Hg%D<28;ad44Hp7yB*wkRSaZcNEl<`5{mH9$1>^mpxuv zo?mgis_|^A^vzzM?5nOfh$Bz?od?@zzUFw7EFtJmLMO zcsU+?kjkgbxR^ifAomp1f%8WlEZ?*a-!lHBU#?SLXDY96OJ64aJIaSR@}NB=@#Oim z2JAb1e*azfZ+k95cdE{5pyTzxHu+00{QP(y4D9{zu)A7%eEvut)GKs5{=0o2 z>w5)x3vcC3y+hvL?=f%td@#*>Yc6l{BzfC$-7W7X{Ac5H8Cwtg9ODO`N9*~|y1s}w)|K{<#FNjpjTfKK!TP}0kHn{XXFqm*lsI_I!H$>fWp@p9i~AGTo3w|W z#+}n|q)!+J_)YT1G^|bs-QVO%`ed^H-sN$tv2MST9_#b#f_VwA(;xX$ zPv%7);J@i{UX%4dd6Icu1>SD`Z*{bn^=r4!@oZnjIN11_!F>|@rTxxM`n~SmK9A}w zm|5Tc*7Z^1n5Pj~fB#N)vt{>t*PFD5oyN00vfC=VKS;k;`XA*d-0k82C*i?Ee&Bl@ zZxDwr|Lo7g$#c7Z_80Nc4>;{0Z 10'b0) + CLKDIV0[9:0] <= CLKDIV0[9:0] - 1'b1; + else + CLKDIV0[9:0] <= `DIVVAL0; + +always @(posedge CLOCK_50 or negedge RESETn) + if (~RESETn) CLKDIV1 <=`DIVVAL1; + else if (CLKDIV0[9:0] == 10'b0) + begin + if (CLKDIV1 > 10'b0) + CLKDIV1[9:0] <= CLKDIV1[9:0] - 1'b1; + else + CLKDIV1[9:0] <= `DIVVAL1; + end + +always @(posedge CLOCK_50 or negedge RESETn) + if (~RESETn) CLKDIV2<=`DIVVAL2; + else if ((CLKDIV1[9:0] == 10'b0) & (CLKDIV0[9:0] == 10'b0)) + begin + if (CLKDIV2 > 10'b0) + CLKDIV2[9:0] <= CLKDIV2[9:0] - 1'b1; + else + CLKDIV2[9:0] <= `DIVVAL2; + end + +// ring_sr + +ring_sr ring_shift_reg( + .CLK(CLOCK_50), + .RSTn(RESETn), + .ENA((CLKDIV0[9:0] == 10'b0) & (CLKDIV1[9:0] == 10'b0) & (CLKDIV2[9:0] == 10'b0)), + .PATTERN(SW), + .Q(LEDS[15:0])); + +// SPI-Master +spi_master spimaster( + .RESETn(RESETn), + .CLK(CLOCK_50), + .CLK_DIVIDER(8'd10), + .SLAVE_SELECT(8'h1), + .DATA_LENGTH(2'd1), + .MODE(2'd1), + .MISO(1'b1), + .TX({16'h0,LEDS}), + .RUN((CLKDIV0[9:0] == 10'b0) & (CLKDIV1[9:0] == 10'b0) & (CLKDIV2[9:0] == 10'b0)), + //module outputs + .RX(), + .SCLK(SCLK_D), + .MOSI(MOSI_D), + .SSn(SSn_D), + .BUSY(), + // module test outputs + .SYNC_TEST(), + .STATE_TEST(), + .ENA_TEST() +); + +endmodule diff --git a/labor_4/res/spi_master/src/ring_sr.v b/labor_4/res/spi_master/src/ring_sr.v new file mode 100644 index 0000000..15fe63f --- /dev/null +++ b/labor_4/res/spi_master/src/ring_sr.v @@ -0,0 +1,23 @@ +module ring_sr( + //inputs + PATTERN, + CLK, + RSTn, + ENA, + //outputs + Q); + + input [15:0] PATTERN; + input CLK,RSTn,ENA; + output reg [15:0] Q; + + always @(posedge CLK) + if(~RSTn) Q <= PATTERN; + + else if (ENA) + begin + Q[15:1] <= Q[14:0]; + Q[0] <= Q[15]; + end + +endmodule // ring_sr \ No newline at end of file diff --git a/labor_4/res/spi_master/src/spi_master_template.v b/labor_4/res/spi_master/src/spi_master_template.v new file mode 100644 index 0000000..0c86962 --- /dev/null +++ b/labor_4/res/spi_master/src/spi_master_template.v @@ -0,0 +1,86 @@ +// module spi_master +// Author: M. Walz + +module spi_master( + //module inputs + // --- Definition of control inputs --- + input wire RESETn, + input wire CLK, + input wire [7:0] CLK_DIVIDER, + input wire [7:0] SLAVE_SELECT, + input wire [1:0] DATA_LENGTH, + input wire [1:0] MODE, + input wire MISO, + input wire [31:0] TX, + input wire RUN, + //module outputs + output reg [31:0] RX, + output SCLK, + output reg MOSI, + output reg [7:0] SSn, + output reg BUSY, + // module test outputs + output wire SYNC_TEST, + output wire [2:0] STATE_TEST, + output wire ENA_TEST + ); + + + + +// --- Definition of internal varibles --- + reg [31:0]TX_SR, RX_SR; + reg [7:0] CLK_DIVIDER_REG; + reg [5:0] CYCLE_CTR; + reg [2:0] STATE; + reg ENA; // Enables operation of SCLK generator + reg T1, Q1; // Used for SLCK generation + wire CPOL, CPHA; // SPI Mode + wire SYNC; // SYNC Signal + +// --- Implementation --- + +// assignments of test signals + assign STATE_TEST = STATE; + assign ENA_TEST = ENA; + assign SYNC_TEST = SYNC; + +// assignments MODE to control wires + assign CPOL = MODE [1]; + assign CPHA = MODE [0]; + +// Clockdivider for generation of SYNC signal + +always @ (posedge CLK or negedge RESETn) begin +end + +// SPI-interface control logic + +always @ (posedge CLK or negedge RESETn) begin + if (~RESETn) begin + end + else case (STATE) + // STATE: Wait + + // STATE: Initialize + + // STATE: Shift + + // STATE: Latch + + // STATE: + + // When transfering data from RX to RX_SR, ignore previously received bytes + case (DATA_LENGTH[1:0]) + 2'h0 : RX[31:0] <= {24'h0, RX_SR[ 7:0]}; // 1 Byte received + 2'h1 : // 2 Bytes received + 2'h2 : // 3 Bytes received + 2'h3 : // 4 Bytes received + endcase + + endcase +end + +// SPI SCLK generation + +endmodule